Calcolatori Elettronici Anno Accademico 2001/2002. FSM in VHDL. Macchina a Stati Finiti (FSM) Tipo Moore. Esempio: Macchina di Moore a due stati

Size: px
Start display at page:

Download "Calcolatori Elettronici Anno Accademico 2001/2002. FSM in VHDL. Macchina a Stati Finiti (FSM) Tipo Moore. Esempio: Macchina di Moore a due stati"

Transcription

1 Macchina a Stati Finiti (FSM) Tipo Moore Calcolatori Elettronici Anno Accademico 2/22 FSM in VHDL Gianluca Palermo Politecnico di Milano Dipartimento di Elettronica e Informazione gpalermo@fusberta.elet.polimi.it IN NEXT NEXT 2 CURRENT Esempio: Macchina di Moore a due stati S/ S/ OUTPUT OUT Esempio: Macchina di Moore (3 process) entity moore is port( clk,rst,input: in bit; output:out bit); end moore; architecture ex of moore is type state_type is (S,S); signal current_state, next_state: state_type; ff: process (clk,rst) if rst = then state <= S; elsif (clk'event and clk = '') then current_state <= next_state; end process ff; st: process (current_state) when S => if input= then next_state <= S; next_state <= S; Esempio: Macchina di Moore (3 process) when S => if input= then next_state <= S; next_state <= S; end process st; out_p: process (current_state) when S => output <= ; when S => output <= ; end process out_p; end ex; 3 4

2 Esempio: Macchina di Moore (2 process) entity moore is port( clk, rst, input: in bit; output: out bit); end moore; architecture ex2 of moore is type state_type is (S,S); signal current_state, next_state: state_type; ff: process (clk,rst) if rst = then state <= S; elsif (clk'event and clk = '') then current_state <= next_state; end process ff; st: process (current_state, input) when S => output <= ; if input= then next_state <= S; next_state <= S; 5 Esempio: Macchina di Moore (2 process) when S => output <= ; if input= then next_state <= S; next_state <= S; end process st; end ex2; 6 Esempio: FSM_ Tipo Moore Codice Sorgente di FSM_ Tipo Moore C_IN [ ] FSM_ Y_OUT [ ] ST ST ST,- - -,- ST Y_OUT,- entity FSM_ is port (, : in bit; C_IN : in bit_vector ( to ); Y_OUT : out bit_vector ( to )); end FSM2; architecture MOORE of FSM_ is type _TYPE is ( ST,,, ); Processo sequenziale SEQ: process (, ) if = '' then CURRENT_ <= ST; elsif ('event and = '') then 7 8

3 Codice Sorgente di FSM_ - Tipo Moore (cont.) Processo Combinatorio per Next State Logic COMB: process (current_state,c_in) when ST => if (C_IN="" or C_IN="" or C_IN="") then NEXT_ <= ; NEXT_ <= ST; when => if (C_IN="" or C_IN="" or C_IN="") then NEXT_ <= ; NEXT_ <= ST; when => if (C_IN="" or C_IN="" or C_IN="") then NEXT_ <= ; NEXT_ <= ; 9 Codice Sorgente di FSM_ - Tipo Moore (cont.) when => if ( C_IN="" or C_IN="") then NEXT_ <= ; NEXT_ <= ; end process COMB; Processo Combinatorio per Output Logic OUT_: process (CURRENT_) when ST => Y_OUT <= ""; when => Y_OUT <= ""; when => Y_OUT <= ""; when => Y_OUT <= ""; end process OUT_; end MOORE; Esempio: FSM_A Tipo Moore Codice Sorgente di FSM_A Tipo Moore X FSM_A S S X = S S S S X = S entity FSM_A is port( X, : in bit; : out bit); end FSM_A; architecture MOORE of FSM_A is type _TYPE is ( S, S,, ); SEQ: process wait until('event and = ''); COMB_OUT:process (CURRENT_, X) when S => <= ; if (X = ) then NEXT_ <= S; NEXT_ <= ; 2

4 Codice Sorgente di FSM_A Tipo Moore (cont.) when S => <= ; if (X = ) then NEXT_ <= S; NEXT_ <= ; when => <= ; if (X = ) then NEXT_ <= ; NEXT_ <= ; when => <= ; if (X = ) then NEXT_ <= ; NEXT_ <= S; end process COMB_OUT; end MOORE; Macchina a Stati Finiti (FSM) Tipo Mealy IN CURRENT_ NEXT and OUTPUT NEXT_ OUT 3 4 Esempio: FSM_B Tipo Mealy Codice Sorgente di FSM_B Tipo Mealy X STA STB STC STD / X = STB / STB / STC / STD / 5 STA / STB X = STC / STD / STD / STA / / / / STC / STD / / entity FSM_B is port ( X, : in bit; : out bit); end FSM_B; architecture MEALY of FSM_B is type _TYPE is ( STA, STB, STC, STD); SEQ: process wait until('event and = ''); COMB_OUT:process (CURRENT_, X) when STA => if (X = ) then <= ; NEXT_ <= STB; <= ; NEXT_ <= STC; 6

5 Codice Sorgente di FSM_B Tipo Mealy (cont.) when STB => if (X = ) then <= ; NEXT_ <= STB; <= ; NEXT_ <= STD; when STC => if (X = ) then <= ; NEXT_ <= STC; <= ; NEXT_ <= STD; when STD => if (X = ) then <= ; NEXT_ <= STD; <= ; NEXT_ <= STA; end process COMB_OUT; end MEALY; 7

2011 Dr. Vassiliy Tchoumatchenko. Въведение в VHDL Проектиране на Крайни Автомати с VHDL

2011 Dr. Vassiliy Tchoumatchenko. Въведение в VHDL Проектиране на Крайни Автомати с VHDL 2011 Dr. Vassiliy Tchoumatchenko Въведение в VHDL Проектиране на Крайни Автомати с VHDL Диаграма на Състоянията на Краен Автомат Reset B = 1 2 State 0 X

More information

Solutions - Final Exam (December 7:30 am) Clarity is very important! Show your procedure!

Solutions - Final Exam (December 7:30 am) Clarity is very important! Show your procedure! DPARTMNT OF LCTRICAL AND COMPUTR NGINRING, TH UNIVRSITY OF NW MXICO C-238L: Computer Logic Deign Fall 23 Solution - Final am (December th @ 7:3 am) Clarity i very important! Show your procedure! PROBLM

More information

Finite state machines (cont d)

Finite state machines (cont d) Finite state machines (cont d)! Another type of shift register " Linear-feedback shift register (LFSR)! Used to generate pseudo-random numbers! Some FSM examples Autumn 2014 CSE390C - VIII - Finite State

More information

13 Combinational Logic Devices

13 Combinational Logic Devices Prof. r. J. Reichardt Prof. r.. Schwarz F Elektrotechnik/Informatik ombinational ogic evices Purpose of combinational logic design: uilding larger, more sophisticated logic circuits by using adaptable

More information

10-bit Pipeline ADC Design

10-bit Pipeline ADC Design Wenxu Zhao ID: 000996958 ECE592 Analog Digital Converter 10-bit Pipeline ADC Design Part I Results 1. Block diagram A 10-bit pipeline ADC was designed with 100MHz nyquist frequency at full scale of 500mV.

More information

Chapter 7. Registers & Register Transfers. J.J. Shann. J. J. Shann

Chapter 7. Registers & Register Transfers. J.J. Shann. J. J. Shann Chapter 7 Registers & Register Transfers J. J. Shann J.J. Shann Chapter Overview 7-1 Registers and Load Enable 7-2 Register Transfers 7-3 Register Transfer Operations 7-4 A Note for VHDL and Verilog Users

More information

Notes for the Course Autonomous Agents and Multiagent Systems 2017/2018. Francesco Amigoni

Notes for the Course Autonomous Agents and Multiagent Systems 2017/2018. Francesco Amigoni Notes for the Course Autonomous Agents and Multiagent Systems 2017/2018 Francesco Amigoni Current address: Dipartimento di Elettronica, Informazione e Bioingegneria, Politecnico di Milano, Piazza Leonardo

More information

CDA6530: Performance Models of Computers and Networks. Chapter 9: Discrete Event Simulation Example --- Three callers problem

CDA6530: Performance Models of Computers and Networks. Chapter 9: Discrete Event Simulation Example --- Three callers problem CDA6530: Performance Models of Computers and Networks Chapter 9: Discrete Event Simulation Example --- Three callers problem Problem Description Two lines services three callers. Each caller makes calls

More information

Liquidity, Business Cycles, and Monetary Policy. Nobuhiro Kiyotaki and John Moore

Liquidity, Business Cycles, and Monetary Policy. Nobuhiro Kiyotaki and John Moore Liquidity, Business Cycles, and Monetary Policy Nobuhiro Kiyotaki and John Moore 1 Question How does economy uctuate with shocks to productivity and liquidity?! Want to develop a canonical model of monetary

More information

Arctic Compressor CO Monitor

Arctic Compressor CO Monitor Arctic Compressor CO Monitor Model: A-M4200 version 1 Manufactured by North Shore Compressor & Machine, Inc. t2 r s t st st r t t r r 2 2 t r 3 tr q rs t r 1 s r t r r s t r r r s 2 s rs 1 s s r 1 st s

More information

(C). D-FF P:2 U3 D:4 U1 Q:5 U5 CLK:1 C:3. U2 100k P:2 U1 SN7474 Q:3 U4 0 L U5 1M CLK:1 U3 5 H CLK L H L H. L u 5.00u 7.50u 10.

(C). D-FF P:2 U3 D:4 U1 Q:5 U5 CLK:1 C:3. U2 100k P:2 U1 SN7474 Q:3 U4 0 L U5 1M CLK:1 U3 5 H CLK L H L H. L u 5.00u 7.50u 10. 1 15. 1. (register),. FFs, FF bit. n-bits n-ffs n-bits. FFs,. FFs. FFs. MSI. FFs. JK FFs. 2. -FF -FF 1 2. -FF. -FF,. :2 U5 1M K:1 U2 100k U4 0 U3 5 U1 SN7474 :3 1. -FF. T K 0.00 2.50u 5.00u 7.50u 10.00u

More information

Solutions - Homework 4 (Due date: November 17 5:30 pm) Presentation and clarity are very important! Show your procedure!

Solutions - Homework 4 (Due date: November 17 5:30 pm) Presentation and clarity are very important! Show your procedure! CTRIC N COMUTR NGINRING RTMNT, OKN UNIVRSITY C-27: igital ogic eign Fall 26 Solution - Homework (ue date: November 7 th @ 5:3 pm) reentation and clarity are very important! Show your procedure! ROM (5

More information

Lecture 8: Skew Tolerant Domino Clocking

Lecture 8: Skew Tolerant Domino Clocking Lecture 8: Skew Tolerant Domino Clocking Computer Systems Laboratory Stanford University horowitz@stanford.edu Copyright 2001 by Mark Horowitz (Original Slides from David Harris) 1 Introduction Domino

More information

Derivation of State Graphs and Tables UNIT 14 DERIVATION OF STATE GRAPHS AND TABLES. Designing a Sequential Circuit. Sequence Detectors

Derivation of State Graphs and Tables UNIT 14 DERIVATION OF STATE GRAPHS AND TABLES. Designing a Sequential Circuit. Sequence Detectors Derivation of State Graphs and Tables 2 Contents Case studies: sequence detectors Guidelines for construction of graphs Serial data code conversion Alphanumeric graph notation Reading Unit 4 Basic unit

More information

PROIECTAREA CEAS DIGITAL

PROIECTAREA CEAS DIGITAL Circuite Logice Programabile LABORATOR 9 10 PROIECTAREA CEAS DIGITAL SCOPUL LUCRĂRII În această lucrare se va proiecta ceas digital. Ceasul proiectat se va baza pe module proiectate anterior (divizor,

More information

EXERCISES ON PERFORMANCE EVALUATION

EXERCISES ON PERFORMANCE EVALUATION EXERCISES ON PERFORMANCE EVALUATION Exercise 1 A program is executed for 1 sec, on a processor with a clock cycle of 50 nsec and Throughput 1 = 15 MIPS. 1. How much is the CPI 1, for the program? T CLOCK

More information

Sequential Gates. Gate Level Design. Young Won Lim 3/15/16

Sequential Gates. Gate Level Design. Young Won Lim 3/15/16 equential Gates Gate Level esign Copyright (c) 2011-2016 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free ocumentation License, Version

More information

Administrative Data in Statistics Canada s Business Surveys: the Present and the Future

Administrative Data in Statistics Canada s Business Surveys: the Present and the Future Administrative Data in Statistics Canada s Business Surveys: the Present and the Future Wesley Yung, Eric Rancourt and Mike Hidiroglou, Statistics Canada Outline Introduction Tax Data Current Uses Possible

More information

Agent and Object Technology Lab Dipartimento di Ingegneria dell Informazione Università degli Studi di Parma. Distributed and Agent Systems

Agent and Object Technology Lab Dipartimento di Ingegneria dell Informazione Università degli Studi di Parma. Distributed and Agent Systems Agent and Object Technology Lab Dipartimento di Ingegneria dell Informazione Università degli Studi di Parma Distributed and Agent Systems Coordination Prof. Agostino Poggi Coordination Coordinating is

More information

Solutions - Final Exam (December 8 7:00 pm) Presentation and clarity are very important! Show your procedure!

Solutions - Final Exam (December 8 7:00 pm) Presentation and clarity are very important! Show your procedure! ILUT OLUT LCTRICAL AN COMPUTR NGINRING PARTMNT, OAKLAN UNIVRSITY C-278: igital Logic eign Fall 26 Solution - Final am (ecember 8 th @ 7: m) Preentation and clarit are ver imortant! Sho our rocedure! PROBLM

More information

Application-Based Performance and Power Analysis on Dynamically Reconfigurable Processor

Application-Based Performance and Power Analysis on Dynamically Reconfigurable Processor 223 8522 3-4- E-mail: drp@am.ics.keio.ac.jp NEC Dynamically Reconfigurable Processor (DRP) DRP, Abstract Application-Based Performance and Power Analysis on Dynamically Reconfigurable Processor Yohei HASEGAWA,

More information

Italian PPP applications: A theoretical framework

Italian PPP applications: A theoretical framework Symposium: Public Private Partnerships in Transport: Trends & Theory Research Roadmap Lisbon, 12 January 2011 Italian applications: A theoretical framework Nunzia Carbonara Nicola Costantino Roberta Pellegrino

More information

Independent Auditors Report

Independent Auditors Report Independent Auditors Report (Arts. 14 and 16 of Legislative Decree No. 39 of 27/1/2010) The attached auditors report and the related consolidated financial statements are in accordance with the original

More information

Lecture 8: Skew Tolerant Design (including Dynamic Circuit Issues)

Lecture 8: Skew Tolerant Design (including Dynamic Circuit Issues) Lecture 8: Skew Tolerant Design (including Dynamic Circuit Issues) Computer Systems Laboratory Stanford University horowitz@stanford.edu Copyright 2007 by Mark Horowitz w/ material from David Harris 1

More information

FAC SIMILE. Year 2013/2014. Curriculum (high school diplomas) : inserire le informazioni sul proprio titolo di studio delle scuole superiori

FAC SIMILE. Year 2013/2014. Curriculum (high school diplomas) : inserire le informazioni sul proprio titolo di studio delle scuole superiori APPLICATION FORM FAC SIMILE Year 2013/2014 Stick photo here Double degree (INGE 11) S7 (XING12) S7+S8 (XING12) S8 (XING12) S9+S10+S11/ 3rdyear (XING13) État civil (compilare con le informazioni personali)

More information

Copyright 2009 Pearson Education Canada

Copyright 2009 Pearson Education Canada CHAPTER FIVE Qualitative Questions Question 1 Shareholders prefer to have cash dividends paid to them now rather than waiting for potential payments in the future. Future cash flows from retained earnings

More information

1H / 2005 Results Data Conference. September 1 st, 2005

1H / 2005 Results Data Conference. September 1 st, 2005 1H / 2005 Results Data Conference September 1 st, 2005 1 Índex Executive Summary 1H/2005 Results Balance sheet at June 30 th, 2005 Business lines Conclussions 2 Executive Summary Good operating and financial

More information

GEOGRAPHIC INFORMATION FOR THE MANAGEMENT OF FLOOD RISK INSURANCE

GEOGRAPHIC INFORMATION FOR THE MANAGEMENT OF FLOOD RISK INSURANCE POLITECNICO MILANO 1863 GEOGRAPHIC INFORMATION FOR THE MANAGEMENT OF FLOOD RISK INSURANCE Milano Porta Garibaldi train station, November 2014 Franco Guzzetti - Politecnico di Milano Alice Pasquinelli -

More information

Lecture 20: Sequential Circuits. Sequencing

Lecture 20: Sequential Circuits. Sequencing Lecture 20: Sequential Circuits Sequencing Elements Simple /FF Timing efinitions Source: Ch 7 (W&H) Sequencing Use flip-flops to delay fast tokens so they move through exactly one stage each cycle. Inevitably

More information

Project Management Progress evaluation Prof. Mauro Mancini

Project Management Progress evaluation Prof. Mauro Mancini Project Management Progress evaluation Prof. Mauro Mancini e-mail: Mauro.Mancini@polimi.it tel.: +39-02-23994057 POLITECNICO DI MILANO Department of Management, Economics and Industrial Engineering Mauro

More information

6, CONDITION OF FEDERAL RESERVE BANKS. T

6, CONDITION OF FEDERAL RESERVE BANKS. T STATEMENT FOR THE PRESS. R eleased.for p u b lica tio n S t,2882. Friday morning, J u ly 7; ' Federal Reserve Board, not e a r lie r, Ju ly 6, 1922. CONDITION OF FEDERAL RESERVE BANKS. T otal ad dition

More information

High throughput implementation of the new Secure Hash Algorithm through partial unrolling

High throughput implementation of the new Secure Hash Algorithm through partial unrolling High throughput implementation of the new Secure Hash Algorithm through partial unrolling Konstantinos Aisopos Athanasios P. Kakarountas Haralambos Michail Costas E. Goutis Dpt. of Electrical and Computer

More information

4Q10 Investor Conference. January 26, 2011

4Q10 Investor Conference. January 26, 2011 4Q10 Investor Conference January 26, 2011 Safe Harbor Notice We have made forward-looking statements in this presentation. Our forwardlooking statements contain information regarding, among other things,

More information

STS-BASED MODELS FOR WEB SERVICE PERFORMANCE EVALUATION

STS-BASED MODELS FOR WEB SERVICE PERFORMANCE EVALUATION STS-BASED MODELS FOR WEB SERVICE PERFORMANCE EVALUATION (Presentation at SOA Security Course) Romaric Sagbo Ph.D Student kouessi.sagbo@unimi.it SESAR Lab Dipartimento di Informatica Universita Degli Studi

More information

Shareholders Meeting April 14th and 15th, 2008

Shareholders Meeting April 14th and 15th, 2008 Shareholders Meeting April 14th and 15th, 2008 (Report on proposals on the items of the agenda) Prysmian S.p.A. Sede Legale Viale Sarca, 222 20126 Milano Phone +39 02 6449.1 Partita IVA 04866320965 Registro

More information

Inside the market. A visit to the historic Borsa headquarters. A workshop about the history of Borsa Italiana

Inside the market. A visit to the historic Borsa headquarters. A workshop about the history of Borsa Italiana Inside the market A visit to the historic Borsa headquarters A workshop about the history of Borsa Italiana Inside the market Academy Academy, the training centre of London Stock Exchange, was established

More information

FSM Optimization. Outline. FSM State Minimization. Some Definitions. Methods 10/14/2015

FSM Optimization. Outline. FSM State Minimization. Some Definitions. Methods 10/14/2015 /4/25 C2: Digital Design http://jatinga.iitg.ernet.in/~asahu/cs22 FSM Optimization Outline FSM : State minimization Row Matching Method, Implication chart method, FSM Partitioning FSM Encoding: Random,

More information

Lesson 1: What is a time series

Lesson 1: What is a time series Dipartimento di Ingegneria e Scienze dell Informazione e Matematica Università dell Aquila, umberto.triacca@univaq.it What is a time series? A time series is a set of observations on a given variable x

More information

Basic Macroeconomics Relationships. Business, Computers, & Information Technology

Basic Macroeconomics Relationships. Business, Computers, & Information Technology Basic Macroeconomics Relationships Business, Computers, & Information Technology Unit 3 Chapter 27 1 Remember Growth, Business Cycle, Recession, and Inflation? Macroeconomic Relationships help us explain

More information

NBER WORKING PAPER SERIES THE FIRST YEAR OF THE EUROSYSTEM: INFLATION TARGETING OR NOT? Lars E.O. Svensson

NBER WORKING PAPER SERIES THE FIRST YEAR OF THE EUROSYSTEM: INFLATION TARGETING OR NOT? Lars E.O. Svensson NBER WORKING PAPER SERIES THE FIRST YEAR OF THE EUROSYSTEM: INFLATION TARGETING OR NOT? Lars E.O. Svensson Working Paper 7598 http://www.nber.org/papers/w7598 NATIONAL BUREAU OF ECONOMIC RESEARCH 1050

More information

Anno accademico

Anno accademico Anno accademico 2018-2019 Corso di Laurea Magistrale in Economia Aziendale Curriculum amministrazione e governance delle aziende (ex DM 270) PRINCIPLES OF BUSINESS VALUATION Cash Flow Management in the

More information

Italferr S.p.A. Financial statements as at and for the year ended 31 December 2017 (with report of the auditors thereon)

Italferr S.p.A. Financial statements as at and for the year ended 31 December 2017 (with report of the auditors thereon) (Translation from the Italian original which remains the definitive version) Italferr S.p.A. Financial statements as at and for the year ended 31 December 2017 (with report of the auditors thereon) KPMG

More information

Supervisory Statement SS4/15 Solvency II: the solvency and minimum capital requirements. March Appendix 2.4

Supervisory Statement SS4/15 Solvency II: the solvency and minimum capital requirements. March Appendix 2.4 Supervisory Statement SS4/15 Solvency II: the solvency and minimum capital requirements March 2015 Appendix 2.4 Prudential Regulation Authority 20 Moorgate London EC2R 6DA Prudential Regulation Authority,

More information

ECON 3010 Intermediate Macroeconomics Solutions to the Final Exam

ECON 3010 Intermediate Macroeconomics Solutions to the Final Exam ECON 3010 Intermediate Macroeconomics Solutions to the Final Exam Multiple Choice Questions. (60 points; 2 pts each) #1. Which of the following is a stock variable? a) wealth b) consumption c) investment

More information

BOARD OF DIRECTORS REPORT TO THE GENERAL MEETING CONVENED IN A SINGLE CALL FOR APRIL 5, 2016

BOARD OF DIRECTORS REPORT TO THE GENERAL MEETING CONVENED IN A SINGLE CALL FOR APRIL 5, 2016 BOARD OF DIRECTORS REPORT TO THE GENERAL MEETING CONVENED IN A SINGLE CALL FOR APRIL 5, 2016 1. Financial Statements as at December 31, 2015. 1.1 Approval of the Separate Annual Financial Statements; Report

More information

Taiwan Futures Exchange. Market Data Transmission Manual

Taiwan Futures Exchange. Market Data Transmission Manual Taiwan Futures Exchange Market Data Transmission Manual (Market Data Transmission Network) Prepared by TAIFEX Ver. 2.16S (updated on 2017/11/23) This spec is for the feed that symbol format is linked with

More information

Balance Sheet Recessions

Balance Sheet Recessions Balance Sheet Recessions Zhen Huo and José-Víctor Ríos-Rull University of Minnesota Federal Reserve Bank of Minneapolis CAERP CEPR NBER Conference on Money Credit and Financial Frictions Huo & Ríos-Rull

More information

Probability & Statistics

Probability & Statistics Probability & Statistics BITS Pilani K K Birla Goa Campus Dr. Jajati Keshari Sahoo Department of Mathematics Statistics Descriptive statistics Inferential statistics /38 Inferential Statistics 1. Involves:

More information

PoS(GRID2006)016. High Frequency Financial Data in Egrid. Alessandra Tedeschi *

PoS(GRID2006)016. High Frequency Financial Data in Egrid. Alessandra Tedeschi * * CNR-INFM - Universita di Roma La Sapienza P.zza Aldo Moro 2, 00185 Rome, Italy E-mail: alessandra.tedeschi@roma1.infn.it We describe the type of financial data acquired in the framework of the project

More information

Credit risk early warning system using fuzzy expert systems

Credit risk early warning system using fuzzy expert systems Central European Conference on Information and Intelligent Systems, 2014 Credit risk early warning system using fuzzy expert systems Igor Kaluđer" Goran Klepac, PhD Context Recent financial crisis revealed

More information

Midterm Answer Sheet

Midterm Answer Sheet Econ 102 Intermediate Macroeconomics Instructor: Chao Wei Midterm Answer Sheet Instructions: The exam is made up of two parts: Part I (30 points): Multiple Choice Questions. One point for each question.

More information

BASICS OF COUNSELING CLIENTS BENEFITS ON SOCIAL SECURITY RETIREMENT

BASICS OF COUNSELING CLIENTS BENEFITS ON SOCIAL SECURITY RETIREMENT BASICS OF COUNSELING CLIENTS ON SOCIAL SECURITY RETIREMENT BENEFITS Presented by the American Bar Association Commission on Law and Aging, Commission on Disability Rights, Standing Committee on Pro Bono

More information

Benefits Presented by: Kelli Send Principal Senior Vice President Participant Services Francis Investment Counsel LLC

Benefits Presented by: Kelli Send Principal Senior Vice President Participant Services Francis Investment Counsel LLC Maximizing Social Maximizing Security Benefits Social Security Benefits Presented by: Kelli Send Principal Senior Vice President Participant Services Francis Investment Counsel LLC What we will cover today

More information

Comparative Statics. What happens if... the price of one good increases, or if the endowment of one input increases? Reading: MWG pp

Comparative Statics. What happens if... the price of one good increases, or if the endowment of one input increases? Reading: MWG pp What happens if... the price of one good increases, or if the endowment of one input increases? Reading: MWG pp. 534-537. Consider a setting with two goods, each being produced by two factors 1 and 2 under

More information

The Broken Three-Legged Stool

The Broken Three-Legged Stool FPA of Michigan 2017 Annual Fall Symposium October 18, 2017 The Broken Three-Legged Stool Mary Beth Franklin, CFP Contributing Editor Investment News Mary Beth Franklin, CFP 1 Remember the old analogy

More information

Whence Policy? Government Policies, Finance, and Economic Integration

Whence Policy? Government Policies, Finance, and Economic Integration Whence Policy? Government Policies, Finance, and Economic Integration Discussion by John Hassler, IIES, Stockholm University May 2008 iscussion by John Hassler, IIES, Stockholm University Guiseppe () Bertola

More information

FPGA PUF Based on Programmable LUT Delays

FPGA PUF Based on Programmable LUT Delays FPGA PUF Based on Programmable LUT Delays Bilal Habib Kris Gaj Jens-Peter Kaps Cryptographic Engineering Research Group (CERG) http://cryptography.gmu.edu Department of ECE, Volgenau School of Engineering,

More information

Solutions - Homework 3 (Due date: March 15 5:30 pm) Presentation and clarity are very important! Show your procedure!

Solutions - Homework 3 (Due date: March 15 5:30 pm) Presentation and clarity are very important! Show your procedure! LCRICAL AN COMPUR NGINRING PARMN, OAKLAN UNIVRSIY C-2700: igitl Logic eign Winter 2018 Solution - Homework 3 (ue dte: Mrch 15 th @ 5:30 pm) Preenttion nd clrity re very importnt! Show your procedure! PROBLM

More information

Cameron ECON 132 (Health Economics): FIRST MIDTERM EXAM (A) Fall 17

Cameron ECON 132 (Health Economics): FIRST MIDTERM EXAM (A) Fall 17 Cameron ECON 132 (Health Economics): FIRST MIDTERM EXAM (A) Fall 17 Answer all questions in the space provided on the exam. Total of 36 points (and worth 22.5% of final grade). Read each question carefully,

More information

PRESS RELEASE. Operating costs* Management expenses of Euro million % of net premiums (Euro million in 9M 2009, 19.

PRESS RELEASE. Operating costs* Management expenses of Euro million % of net premiums (Euro million in 9M 2009, 19. PRESS RELEASE MILANO ASSICURAZIONI S.p.A.: CONSOLIDATED REPORT AT SEPTEMBER 30, 2010 APPROVED TOTAL DIRECT PREMIUMS OF EURO 2,587.2 MILLION (EURO 2,561.8 MILLION IN 9M 2009, +1%*) Direct premiums written*

More information

How Computers Work Lecture 12

How Computers Work Lecture 12 How Computers Work Lecture 12 A Common Chore of College Life Introduction to Pipelining How Computers Work Lecture 12 Page 1 How Computers Work Lecture 12 Page 2 Page 1 1 Propagation Times Doing 1 Load

More information

Associazione Italiana di Ingegneria Economica (The Italian Association for Total Cost Management)

Associazione Italiana di Ingegneria Economica (The Italian Association for Total Cost Management) Associazione Italiana di Ingegneria Economica (The Italian Association for Total Cost Management) Controlling costs in long term projects Ing. Gianluca di Castri, MBA, DIF, CCE/ICEC.A Past President &

More information

Reconfigurable Acceleration for Monte Carlo based Financial Simulation

Reconfigurable Acceleration for Monte Carlo based Financial Simulation Reconfigurable Acceleration for Monte Carlo based Financial Simulation G.L. Zhang, P.H.W. Leong, C.H. Ho, K.H. Tsoi, C.C.C. Cheung*, D. Lee**, Ray C.C. Cheung*** and W. Luk*** The Chinese University of

More information

Anno accademico

Anno accademico Anno accademico 2018-2019 Corso di Laurea Magistrale in Economia Aziendale Curriculum amministrazione e governance delle aziende (ex DM 270) PRINCIPLES OF BUSINESS VALUATION Valuing a Changing Perimeter

More information

(Translation from the Italian original which remains the definitive version)

(Translation from the Italian original which remains the definitive version) KPMG S.p.A. Revisione e organizzazione contabile Via Vittor Pisani, 25 20124 MILANO MI Telefono +39 02 6763.1 Email it-fmauditaly@kpmg.it PEC kpmgspa@pec.kpmg.it (Translation from the Italian original

More information

Thunderella: Blockchains with Optimistic Instant Confirmation. Rafael Pass and Elaine Shi Cornell Tech & Cornell University

Thunderella: Blockchains with Optimistic Instant Confirmation. Rafael Pass and Elaine Shi Cornell Tech & Cornell University Thunderella: Blockchains with Optimistic Instant Confirmation Rafael Pass and Elaine Shi Cornell Tech & Cornell University State-machine replication (a.k.a. linearly ordered log, consensus, blockchain)

More information

Nonrivalry and the Economics of Data

Nonrivalry and the Economics of Data Nonrivalry and the Economics of Data Chad Jones and Chris Tonetti SED 28 June 218 1 / 42 Examples of Data Google, Facebook Amazon Tesla, Uber, Waymo Medical and genetic data Location history Speech records

More information

Rhode Island Interim Recurring Rates

Rhode Island Interim Recurring Rates Rhode Island Recurring Rates Exhibit A ELEMENT ZONE LINK 2 WIRE ANALOG LINK RURAL per Link, per Month $30.48 $20.59 SUBURBAN per Link, per Month $24.81 $16.62 URBAN per Link, per Month $16.49 $12.05 STATEWIDE

More information

INTERNATIONAL CORPORATE GOVERNANCE. Wintersemester Christian Harm

INTERNATIONAL CORPORATE GOVERNANCE. Wintersemester Christian Harm INTERNATIONAL CORPORATE GOVERNANCE Wintersemester 2008-09 Christian Harm 1 In whose interest does the corporation work Corporate Governance centers on the issue of management accountability, but accountability

More information

EconS Industrial Organization Assignment 6 Homework Solutions

EconS Industrial Organization Assignment 6 Homework Solutions EconS 45 - Industrial Organization Assignment 6 Homework Solutions Assignment 6-1 Return to our vertical integration example we looked at in class today. Suppose now that the downstream rm requires two

More information

Project Management -- Developing the Project Plan

Project Management -- Developing the Project Plan Project Management -- Developing the Project Plan Dr. Tai-Yue Wang Department of Industrial and Information Management National Cheng Kung University Tainan, TAIWAN, ROC 1 Where We Are Now 6 2 Developing

More information

An Empirical Study of an Auction with Asymmetric Information. Kenneth Hendricks and Robert Porter

An Empirical Study of an Auction with Asymmetric Information. Kenneth Hendricks and Robert Porter An Empirical Study of an Auction with Asymmetric Information Kenneth Hendricks and Robert Porter 1988 Drainage and Wildcat Tracts Drainage tracts: oil tracts adjacent to tracts on which deposits have been

More information

Applied Econometrics: Empirical Project 2

Applied Econometrics: Empirical Project 2 Applied Econometrics: Empirical Project 2 Introduction As part of the course, you are required to write two individual projects which demonstrate your ability to use econometric techniques in a practical

More information

PRESS RELEASE. MILANO ASSICURAZIONI S.p.A.: Q CONSOLIDATED RESULTS APPROVED. SHAREHOLDERS EQUITY OF EURO 1,881.2 MILLION;

PRESS RELEASE. MILANO ASSICURAZIONI S.p.A.: Q CONSOLIDATED RESULTS APPROVED. SHAREHOLDERS EQUITY OF EURO 1,881.2 MILLION; PRESS RELEASE MILANO ASSICURAZIONI S.p.A.: Q1 2009 CONSOLIDATED RESULTS APPROVED. GROUP PROFIT OF EURO 31.5 MILLION; SHAREHOLDERS EQUITY OF EURO 1,881.2 MILLION; COMBINED RATIO (96.3%). Milano Assicurazioni

More information

(Translation from the Italian original which remains the definitive version) To the shareholders of Recordati Industria Chimica e Farmaceutica S.p.A.

(Translation from the Italian original which remains the definitive version) To the shareholders of Recordati Industria Chimica e Farmaceutica S.p.A. KPMG S.p.A. Revisione e organizzazione contabile Via Vittor Pisani, 25 20124 MILANO MI Telefono +39 02 6763.1 Email it-fmauditaly@kpmg.it PEC kpmgspa@pec.kpmg.it (Translation from the Italian original

More information

Xilinx V H D L. Design with VHDL(1) Agenda. Basic Rule for VHDL Coding. Simple Gate Logic (1) Simple Gate Logic (2) Basic Rule for VHDL Coding

Xilinx V H D L. Design with VHDL(1) Agenda. Basic Rule for VHDL Coding. Simple Gate Logic (1) Simple Gate Logic (2) Basic Rule for VHDL Coding gen Xilinx V H L esign with VHL ( Comintionl Logi ) L 1 esign with VHL ( Sequentil Logi ) Presente L 2 esign with VHL ( Hierh esign, esign Flow ) si Rule for VHL Coing si Rule for VHL Coing esign with

More information

EconS Oligopoly - Part 3

EconS Oligopoly - Part 3 EconS 305 - Oligopoly - Part 3 Eric Dunaway Washington State University eric.dunaway@wsu.edu December 1, 2015 Eric Dunaway (WSU) EconS 305 - Lecture 33 December 1, 2015 1 / 49 Introduction Yesterday, we

More information

Realtime Regular Expressions for Analog and Mixed-Signal Assertions

Realtime Regular Expressions for Analog and Mixed-Signal Assertions . Realtime Regular Expressions for Analog and Mixed-Signal Assertions John Havlicek Scott Little 1 Motivation Assertions are a key piece to industrial verification flows SVA and PSL are based upon discrete

More information

CGTA: Current Gain-based Timing Analysis for Logic Cells

CGTA: Current Gain-based Timing Analysis for Logic Cells GTA: urrent Ga-based Timg Analysis for Logic ells S. Nazarian, M. Pedram University of Shern alifornia EE-Systems, Los Angeles A 90089 T. L, E. Tuncer Magma Design Automation Santa lara, A 95054 rosstalk-aware

More information

International Monetary Policy

International Monetary Policy International Monetary Policy 6 Central Banking: Tactics and Strategies 1 Michele Piffer London School of Economics 1 Course prepared for the Shanghai Normal University, College of Finance, April 2011

More information

PassCollection. IT certification exam collections provider, High pass rate

PassCollection.   IT certification exam collections provider, High pass rate PassCollection http://www.passcollection.com IT certification exam collections provider, High pass rate Exam : CTP Title : Certified Treasury Professional Vendor : AFP Version : DEMO Get Latest & Valid

More information

Version Overview

Version Overview O*U*C*H Version 4.1 Updated July 18, 2016 1 Overview... 1 1.1 Architecture... 2 1.2 Data Types... 2 1.3 Fault Redundancy... 2 1.4 Service Bureau Configuration... 3 2 Inbound Messages... 3 2.1 Enter Order

More information

Anno accademico

Anno accademico Anno accademico 2018-2019 Corso di Laurea Magistrale in Economia Aziendale Curriculum amministrazione e governance delle aziende (ex DM 270) PRINCIPLES OF BUSINESS VALUATION Normalizing Operating Earnings

More information

Nonrivalry and the Economics of Data

Nonrivalry and the Economics of Data Nonrivalry and the Economics of Data Chad Jones and Chris Tonetti UCLA 19 November 2018 0 / 44 Examples of Data Google, Facebook Amazon Tesla, Uber, Waymo Medical and genetic data Location history Speech

More information

Ambition beyond feasibility? Equalization transfers to regional and local governments in Italy

Ambition beyond feasibility? Equalization transfers to regional and local governments in Italy Ambition beyond feasibility? Equalization transfers to regional and local governments in Italy Giorgio Brosio (Dipartimento Economia e Statistica, Torino) Prepared for the Jornada sobre Financiación territorial

More information

Technical Analysis. Dealing Room Peter Leonidou. Peter Leonidou

Technical Analysis. Dealing Room Peter Leonidou. Peter Leonidou Technical Analysis Dealing Room Questions Traders Should Ask What is the trend? What is the pivot point? What is the support levels? What is the resistance levels? Strong or weaker USD? What 1. Trade

More information

O*U*C*H 4.1 Updated February 25 th, 2013

O*U*C*H 4.1 Updated February 25 th, 2013 O*U*C*H Updated February 25 th, 2013 1 Overview... 1 1.1 Architecture... 2 1.2 Data Types... 2 1.3 Fault Redundancy... 3 1.4 Service Bureau Configuration... 3 2 Inbound Messages... 3 2.1 Enter Order Message...

More information

EconS Firm Optimization

EconS Firm Optimization EconS 305 - Firm Optimization Eric Dunaway Washington State University eric.dunaway@wsu.edu October 9, 2015 Eric Dunaway (WSU) EconS 305 - Lecture 18 October 9, 2015 1 / 40 Introduction Over the past two

More information

Solving the Social Security Puzzle

Solving the Social Security Puzzle Solving the Social Security Puzzle What You Need to Know About Your Social Security Benefits Before You Claim Robin Brewton VP of Client Services This presentation is provided by Social Security Solutions.

More information

Sigla di identificazione Distrib. Pago di ~ Ricerca Sistema Elettrico NNFISS - LP1-004 L 1 39

Sigla di identificazione Distrib. Pago di ~ Ricerca Sistema Elettrico NNFISS - LP1-004 L 1 39 Sigla di identificazione Distrib. Pago di ~ Ricerca Sistema Elettrico NNFISS - LP1-004 L 1 39 Titolo Rapporto sullo sviluppo di modelli economico-finanziari per l'analisi di differenti parchi di reattori

More information

arxiv: v1 [cs.lg] 6 Mar 2018

arxiv: v1 [cs.lg] 6 Mar 2018 Deep Information Networks Giulio Franzese, Monica Vist Dipartimento di Elettronica e Telecomunicazioni Politecnico di Toro, Italy giulio.franzese@polito.it, monica.vist@polito.it arxiv:1803.02251v1 [cs.lg]

More information

Solutions - Homework 3 (Due date: November 2 5:30 pm) Presentation and clarity are very important! Show your procedure!

Solutions - Homework 3 (Due date: November 2 5:30 pm) Presentation and clarity are very important! Show your procedure! LCRICAL AN COMPUR NGINRING PARMN, OAKLAN UNIVRSIY C-2700: igitl Logic eign Fll 2017 Solution - Homework 3 (ue dte: November 2 nd @ 5:30 pm) Preenttion nd clrit re ver importnt! Show our procedure! PROBLM

More information

The Great Depression. Economic Forces in American History

The Great Depression. Economic Forces in American History The Great Depression Economic Forces in American History The Great Depression: Outline Contours of the Decline Explaining the Downturn Explaining the Severity Some old explanations Some recent explanations

More information

Durable Goods, Inventories, and the Great Moderation

Durable Goods, Inventories, and the Great Moderation Durable Goods, Inventories, and the Great Moderation James A. Kahn October 2007 Motivation GDP volatility dropped sharply in the early 1980s, as did the volatility of many aggregates (the Great Moderation

More information

Cheap Talk Games with three types

Cheap Talk Games with three types Cheap Talk Games with three types Felix Munoz-Garcia Strategy and Game Theory - Washington State University Signaling games with three types So far, in all signaling games we considered... There were two

More information

CALCULATION EXERCISE 3 CAPACITY PLANNING AND MANAGEMENT SOLUTIONS

CALCULATION EXERCISE 3 CAPACITY PLANNING AND MANAGEMENT SOLUTIONS CALCULATION EXERCISE 3 CAPACITY PLANNING AND MANAGEMENT SOLUTIONS Production environments and capacity planning techniques Rate-based planning Time-phased planning Stable material flows Simple product

More information

Career Concern, Raiders and Disclosure Policy

Career Concern, Raiders and Disclosure Policy Career Concern, Raiders and Disclosure Policy Wonsuk, Chung Indiana University - Bloomington 16th April 2009 Abstract Agents has to worry about not only his incentives but also his future career. Employer

More information

Making the Most of Demand Push and Pull in Distribution

Making the Most of Demand Push and Pull in Distribution Making the Most of Demand Push and Pull in Distribution David F. Ross, PhD, CFPIM, CSCP APICS Professional Development Learning Objectives Describe distribution channel dependencies Detail push system

More information

Models of Wage-setting.. January 15, 2010

Models of Wage-setting.. January 15, 2010 Models of Wage-setting.. Huw Dixon 200 Cardi January 5, 200 Models of Wage-setting. Importance of Unions in wage-bargaining: more important in EU than US. Several Models. In a unionised labour market,

More information

CORPORATE GOVERNANCE AND SHAREHOLDER VALUE IN LISTED FIRMS: AN EMPIRICAL ANALYSIS IN FIVE COUNTRIES (FRANCE, ITALY, JAPAN, UK, USA)

CORPORATE GOVERNANCE AND SHAREHOLDER VALUE IN LISTED FIRMS: AN EMPIRICAL ANALYSIS IN FIVE COUNTRIES (FRANCE, ITALY, JAPAN, UK, USA) CORPORATE GOVERNANCE AND SHAREHOLDER VALUE IN LISTED FIRMS: AN EMPIRICAL ANALYSIS IN FIVE COUNTRIES (FRANCE, ITALY, JAPAN, UK, USA) Barbara Monda*, Marco Giorgino** Abstract In this paper, we design a

More information