A Physical Unclonable Function based on Capacitor Mismatch in a Charge-Redistribution SAR-ADC

Size: px
Start display at page:

Download "A Physical Unclonable Function based on Capacitor Mismatch in a Charge-Redistribution SAR-ADC"

Transcription

1 A Physical Unclonable Function based on Capacitor Mismatch in a Charge-Redistribution SAR-ADC Qianying Tang, Won Ho Choi, Luke Everson, Keshab K. Parhi and Chris H. Kim University of Minnesota Department of Electrical and Computer Engineering Minneapolis, MN

2 Outline Background and Motivation Proposed SAR-ADC PUF 65nm PUF Chip Data Summary SAR ADC: Successive Approximation Register Analog to Digital Converter PUF: Physical Unclonable Function 2

3 Conventional PUFs MUX stages Arbiter VDD 1 1 S Q 1 Response SR Latch Q QB R BL BLB C<> C<1> C<127> Arbiter PUF: Entropy source: Delay path variation Number of challenge-response pairs (CRPs) exponentially proportional to the number of cells strong PUF SRAM PUF: Entropy source: Cell mismatch Number of CRPs proportional to the number of cells weak PUF 3

4 Passive Device based PUFs J. Ju, HOST, 213 M. Wan, TCAS-I, 215 Passive devices are more stable under V, T variation as compared to active devices Metal wire resistance variation in chip power grid (left) Capacitance variation in switch capacitor circuit (right) 4

5 Contributions of This Work V ip Vcm 4C 2C C C 4C 2C C C SAR Logic D2~D ADC Digital Output w mismatch w/o mismatch V in V REF /2 V REF ADC Analog Input Extract mismatch in capacitor array of standard charge redistribution SAR-ADC minimal design and area overhead Experimentally verify if indeed passive PUFs are stable under V and T variations (so far, results are inconclusive) 5

6 Outline Background and Motivation Proposed SAR-ADC PUF 65nm PUF Chip Data Summary 6

7 Modifications to Standard SAR-ADC Vref C9 C8 C7 C6 C5 C4 C3 C2 C1 Cdummy C9' CU<62:31> C8' CU<3:15> C4' CU<1> C3 C2 C1 Cd CU<> Vcm Vcm SAR ctrl. PUF ctrl. C9 C8 C7 C6 C5 C4 C3 C2 C1 Cdummy Vref 4% area overhead PUF control logic Independent control of unit caps A counter to determine soft response (not shown here) 7

8 Test Chip Layout and Die Photo PUF control Cap. Array Comparator & SAR Logic Cap. Array 45µm -bit SAR ADC in 65nm 63 unit capacitors on the same column of each capacitor array are utilized for PUF function 8

9 Step 1: Sampling Phase PUF Switch Ctrl. CLK_CMP V DD S 62 S j S i S Auto-zeroing for offset compensation PUF_EN V cm S VCM CU<62> CU<j> CU<i> CU<> PUF_EN CMPO S S 1 CU<62> CU<j> CU<i> CU<> CLK_CMP S vcm V + /V - V DD S 62 S j S i S CMPO V+ and V- are initialized to the common-mode voltage Vcm Two unit capacitors are enabled and connected in series between VDD and GND Charge on top plate: Q V cm C U i V cm VDD CU j

10 Step 2: Evaluation Phase PUF Switch Ctrl. CLK_CMP S 62 S i S j S V DD PUF_EN S VCM CU<62> CU<i> CU<j> CU<> PUF_EN S V cm CMPO S 1 CU<62> CU<i> CU<j> CU<> CLK_CMP S vcm V + /V - V V DD S 62 S i S j S CMPO Connection of S i and S j are swapped, Vcm is disconnected CU i C U j Charge on top plate: Qclk1 Qclk3 V VCM V DD CU i CU j Capacitance difference between C U<i> and C U<j> results in different input voltage V: CU i CU j CU i C U j V V V V DD CU i CU j CU i C U j

11 Overall Flow and Soft Response Measurement PUF Switch Ctrl. S N S 2 S 1 S PUF Soft Response SAR ADC CMPO Counter + - PUF_EN CLK_CMP PUF_Thr Soft-response measurement Repetitively evaluating the PUF using the same challenge Counting the number of times the comparator output evaluates to 1 using an on-chip counter 11

12 Outline Background and Motivation Proposed SAR-ADC PUF 65nm PUF Chip Data Summary 12

13 Probability (%) Measured Soft Response Stable : 37.6% 1.2V, 25ºC,16 unit caps. evaluations 8 challenges Stable 1 : 35.8% Pr(Soft response = 1 ) 8, different challenges were applied and each challenge was evaluated times 13

14 2 Stability Comparison 65nm, 1.2V, 25ºC 2 32nm,.9V, 25ºC Probability (%) 1-1 SAR-ADC PUF (This Work) Probability (%) 1-1 Arbiter PUF (Chen, et al., ISLPED16, DAC17) Soft response Soft response Stability of SAR-ADC PUF not better than that of Arbiter PUF Noise due to active devices in SAR-ADC PUF makes response unstable 14

15 Solution #1: Dynamic Thresholding PDF PDF. Intra-chip Overlap Applying Dynamic Thresholding Intra-chip Inter-chip No overlap Inter-chip.5 1. Intra-chip HD (µ and σ) V, 25ºC,16 unit caps...5 Hamming distance 1../1..1/.9.2/.8 Enrollment threshold (D/D1) Improve the consistency and uniqueness by suppressing intra-chip HD Approach: Stringent threshold for enrollment, relaxed threshold for authentication 15

16 PDF. Solution #2: Low VDD Enrollment 1.2V Hamming distance 1. Intra-chip HD for Authentication 65nm,.8V-1.2V, 25ºC, w/ dyn. thres Supply Voltage for Enrollment (V) V of SAR-ADC PUF is proportional to VDD response will be more stable at higher VDDs Approach: Low VDD (.8V) for enrollment, any VDD (.8-1.2V) for authentication µ & σ Max. 16

17 Probability (%) After Incorporating Solutions #1 and # Intra-chip HD: µ =.46 σ =.537 max =.49 Margin =.28 65nm,.8~1.2V, 25ºC Inter-chip HD µ =.58 σ =.67 min = Hamming Distance Threshold # of challenges 8k Pr( 1 )<.1 or Pr( 1 )>.9 Discarded responses 47.8% Enrollment VDD Authentication VDD Intra-chip # of cycles for eval. 99.8V.8~1.2V 4, stable challenges are applied to the different chips with a supply voltage ranging from.8v to 1.2V Group the CRPs into bit responses for inter-chip HD eval.: μ = 5.6%, close to the ideal 5% inter-chip HD max(intra-chip) min(inter-chip) = 2.8%, suggests good uniqueness Inter-chip 8k # chips 1 17

18 Outline Background and Motivation Proposed SAR-ADC PUF 65nm PUF Chip Data Summary 18

19 Summary Capacitance mismatch in a standard SAR-ADC utilized for PUF operation 65nm test chip shows worse stability compared to arbiter PUFs due to active devices Solutions for overcoming stability issues: Soft response thresholding, low VDD enrollment Possible future work: Security analysis of passive device based PUFs Acknowledgement: This research was supported by the National Science Foundation under grant number CNS and the semiconductor research corporation under contract number 214-TS

A DRAM based Physical Unclonable Function Capable of Generating >10 32 Challenge Response Pairs per 1Kbit Array for Secure Chip Authentication

A DRAM based Physical Unclonable Function Capable of Generating >10 32 Challenge Response Pairs per 1Kbit Array for Secure Chip Authentication A DRAM based Physical Unclonable Function Capable of Generating >10 32 Challenge Response Pairs per 1Kbit Array for Secure Chip Authentication Q. Tang, C. Zhou, *W. Choi, *G. Kang, *J. Park, K. K. Parhi,

More information

Soft Response Generation and Thresholding Strategies for Linear and Feed-Forward MUX PUFs

Soft Response Generation and Thresholding Strategies for Linear and Feed-Forward MUX PUFs Soft Response Generation and Thresholding Strategies for Linear and Feed-Forward MUX PUFs Chen Zhou, SarojSatapathy, YingjieLao, KeshabK. Parhiand Chris H. Kim Department of ECE University of Minnesota

More information

Soft Response Generation and Thresholding Strategies for Linear and Feed-Forward MUX PUFs

Soft Response Generation and Thresholding Strategies for Linear and Feed-Forward MUX PUFs Soft esponse Generation and Thresholding Strategies for Linear and Feed-Forward MUX PUFs Chen Zhou, Saroj Satapathy, Yingjie Lao, Keshab K. Parhi and Chris H. Kim Department of ECE, University of Minnesota,

More information

Bitline PUF:! Building Native Challenge-Response PUF Capability into Any SRAM. Daniel E. Holcomb Kevin Fu University of Michigan

Bitline PUF:! Building Native Challenge-Response PUF Capability into Any SRAM. Daniel E. Holcomb Kevin Fu University of Michigan Sept 26, 24 Cryptographic Hardware and Embedded Systems Bitline PUF:! Building Native Challenge-Response PUF Capability into Any SRAM Daniel E. Holcomb Kevin Fu University of Michigan Acknowledgment: This

More information

Moving PUFs out of the lab

Moving PUFs out of the lab Moving PUFs out of the lab Patrick Schaumont 2/3/2012 Research results by Abhranil Maiti, Jeff Casarona, Luke McHale, Logan McDougall, Vikash Gunreddy, Michael Cantrell What is a Physical Unclonable Function?

More information

www.unique-project.eu Exchange of security-critical data Computing Device generates, stores and processes security-critical information Computing Device 2 However: Cryptographic secrets can be leaked by

More information

FPGA PUF Based on Programmable LUT Delays

FPGA PUF Based on Programmable LUT Delays FPGA PUF Based on Programmable LUT Delays Bilal Habib Kris Gaj Jens-Peter Kaps Cryptographic Engineering Research Group (CERG) http://cryptography.gmu.edu Department of ECE, Volgenau School of Engineering,

More information

Secure and Energy Efficient Physical Unclonable Functions

Secure and Energy Efficient Physical Unclonable Functions University of Massachusetts Amherst ScholarWorks@UMass Amherst Masters Theses 1911 - February 2014 Dissertations and Theses 2012 Secure and Energy Efficient Physical Unclonable Functions Sudheendra Srivathsa

More information

IMPROVING THE QUALITY OF A PHYSICAL UNCLONABLE FUNCTION USING CONFIGURABLE RING OSCILLATORS Abhranil Maiti, Patrick Schaumont

IMPROVING THE QUALITY OF A PHYSICAL UNCLONABLE FUNCTION USING CONFIGURABLE RING OSCILLATORS Abhranil Maiti, Patrick Schaumont IMPROVING THE QUALITY OF A PHYSICAL UNCLONABLE FUNCTION USING CONFIGURABLE RING OSCILLATORS Abhranil Maiti, Patrick Schaumont Electrical and Computer Engineering Department Virginia Tech Blacksburg, VA

More information

Physical Unclonable Functions (PUFs) and Secure Processors. Srini Devadas Department of EECS and CSAIL Massachusetts Institute of Technology

Physical Unclonable Functions (PUFs) and Secure Processors. Srini Devadas Department of EECS and CSAIL Massachusetts Institute of Technology Physical Unclonable Functions (PUFs) and Secure Processors Srini Devadas Department of EECS and CSAIL Massachusetts Institute of Technology 1 Security Challenges How to securely authenticate devices at

More information

Physically Unclonable Functions: a Study on the State of the Art and Future Research Directions.

Physically Unclonable Functions: a Study on the State of the Art and Future Research Directions. Physically Unclonable Functions: a Study on the State of the Art and Future Research Directions. Roel Maes, Ingrid Verbauwhede 1 Introduction The idea of using intrinsic random physical features to identify

More information

Employing Process Variation for Building Chip Identifiers

Employing Process Variation for Building Chip Identifiers Turning Lemons into Lemonade: Employing Process Variation for Building Chip Identifiers Leyla Nazhandali, Electrical and Computer Eng. Department Virginia Tech Outline Part 1: What are PUFs? Identity of

More information

The DRAM Latency PUF:

The DRAM Latency PUF: The DRAM Latency PUF: Quickly Evaluating Physical Unclonable Functions by Exploiting the Latency-Reliability Tradeoff in Modern Commodity DRAM Devices Jeremie S. Kim Minesh Patel Hasan Hassan Onur Mutlu

More information

Dopingless Transistor based Hybrid Oscillator Arbiter Physical Unclonable Function

Dopingless Transistor based Hybrid Oscillator Arbiter Physical Unclonable Function Dopingless Transistor based Hybrid Oscillator Arbiter Physical Unclonable Function V. P. Yanambaka 1, S. P. Mohanty 2, E. Kougianos 3, P. Sundaravadivel 4 and J. Singh 5 NanoSystem Design Laboratory (NSDL,

More information

Active and Passive Side-Channel Attacks on Delay Based PUF Designs

Active and Passive Side-Channel Attacks on Delay Based PUF Designs 1 Active and Passive Side-Channel Attacks on Delay Based PUF Designs Georg T. Becker, Raghavan Kumar Abstract Physical Unclonable Functions (PUFs) have emerged as a lightweight alternative to traditional

More information

SRAM-based Physical Unclonable Functions

SRAM-based Physical Unclonable Functions Feb 26, 25 @ Worcester Polytechnic Institute SRAM-based Physical Unclonable Functions Daniel E. Holcomb UMass Amherst Collaborators for these works: Wayne P Burleson Kevin Fu Amir Rahmati Uli Ruhrmair

More information

PUF RO (RING OSCILLATOR)

PUF RO (RING OSCILLATOR) PUF RO (RING OSCILLATOR) EEC 492/592, CIS 493 Hands-on Experience on Computer System Security Chan Yu Cleveland State University CIRCUIT PUF - PREVIOUS WORK Ravikanth et. al proposed the first PUF in literature

More information

High Reliability PUF using Hot-Carrier Injection Based Response Reinforcement

High Reliability PUF using Hot-Carrier Injection Based Response Reinforcement High Reliability PUF using Hot-Carrier Injection Based Response Reinforcement Mudit Bhargava and Ken Mai Electrical and Computer Engineering Carnegie Mellon University CHES 2013 Key Generation using PUFs

More information

Variation Aware Placement for Efficient Key Generation using Physically Unclonable Functions in Reconfigurable Systems

Variation Aware Placement for Efficient Key Generation using Physically Unclonable Functions in Reconfigurable Systems University of Massachusetts Amherst ScholarWorks@UMass Amherst Masters Theses Dissertations and Theses 2016 Variation Aware Placement for Efficient Key Generation using Physically Unclonable Functions

More information

Novel Reconfigurable Silicon Physical Unclonable Functions

Novel Reconfigurable Silicon Physical Unclonable Functions Novel Reconfigurable Silicon Physical Unclonable Functions Yingjie Lao and Keshab K. Parhi epartment of Electrical and Computer Engineering, University of Minnesota, Twin Cities {laoxx25, parhi}@umn.edu

More information

A Heuristic Method for Statistical Digital Circuit Sizing

A Heuristic Method for Statistical Digital Circuit Sizing A Heuristic Method for Statistical Digital Circuit Sizing Stephen Boyd Seung-Jean Kim Dinesh Patil Mark Horowitz Microlithography 06 2/23/06 Statistical variation in digital circuits growing in importance

More information

Lecture 8: Skew Tolerant Design (including Dynamic Circuit Issues)

Lecture 8: Skew Tolerant Design (including Dynamic Circuit Issues) Lecture 8: Skew Tolerant Design (including Dynamic Circuit Issues) Computer Systems Laboratory Stanford University horowitz@stanford.edu Copyright 2007 by Mark Horowitz w/ material from David Harris 1

More information

A PUF Design for Secure FPGA-Based Embedded Systems

A PUF Design for Secure FPGA-Based Embedded Systems A PUF Design for Secure FPGA-Based Embedded Systems author line author line2 author line3 Abstract The concept of having an integrated circuit (IC) generate its own unique digital signature has broad application

More information

Ultra-lightweight and Reconfigurable Tristate Inverter Based Physical Unclonable Function Design

Ultra-lightweight and Reconfigurable Tristate Inverter Based Physical Unclonable Function Design Ultra-lightweight and Reconfigurable Inverter Based Physical Unclonable Function Design Cui, Y., Gu, C., Wang, C., O'Neill, M., & Liu, W. (2018). Ultra-lightweight and Reconfigurable Inverter Based Physical

More information

Microprocessor Based Physical Unclonable Function

Microprocessor Based Physical Unclonable Function Microprocessor Based Physical Unclonable Function Sudeendra kumar K, Sauvagya Sahoo, Abhishek Mahapatra, Ayas Kanta Swain, K.K.Mahapatra kumar.sudeendra@gmail.com, sauvagya.nitrkl@gmail.com, kmaha2@gmail.com

More information

EE115C Spring 2013 Digital Electronic Circuits. Lecture 19: Timing Analysis

EE115C Spring 2013 Digital Electronic Circuits. Lecture 19: Timing Analysis EE115C Spring 2013 Digital Electronic Circuits Lecture 19: Timing Analysis Outline Timing parameters Clock nonidealities (skew and jitter) Impact of Clk skew on timing Impact of Clk jitter on timing Flip-flop-

More information

Processor-Based Strong Physical Unclonable Functions with Aging-Based Response Tuning

Processor-Based Strong Physical Unclonable Functions with Aging-Based Response Tuning IEEE TRANSACTIONS ON EMERGING TOPICS IN COMPUTING, VOL. X, NO. X, DECEMBER 213 1 Processor-Based Strong Physical Unclonable Functions with Aging-Based Response Tuning Joonho Kong, Member, IEEE, and Farinaz

More information

Reverse Engineering and Prevention Techniques for Physical Unclonable Functions Using Side Channels

Reverse Engineering and Prevention Techniques for Physical Unclonable Functions Using Side Channels Reverse Engineering and Prevention Techniques for Physical Unclonable Functions Using Side Channels Sheng Wei * James B. Wendt * Ani Nahapetian * Miodrag Potkonjak * * University of California, Los Angeles

More information

AFRL-RI-RS-TR

AFRL-RI-RS-TR AFRL-RI-RS-TR-2014-018 IC PIRACY PROTECTION BY APUF AND LOGIC OBFUSCATION RICE UNIVERSITY JANUARY 2014 FINAL TECHNICAL REPORT STINFO COPY AIR FORCE RESEARCH LABORATORY INFORMATION DIRECTORATE AIR FORCE

More information

Reliable and efficient PUF-based key generation using pattern matching

Reliable and efficient PUF-based key generation using pattern matching Reliable and efficient PUF-based key generation using pattern matching The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As

More information

REPORT DOCUMENTATION PAGE

REPORT DOCUMENTATION PAGE REPORT DOCUMENTATION PAGE Form Approved OMB No. 74-88 The public reporting burden for this collection of information is estimated to average hour per response, including the time for reviewing instructions,

More information

A PUF Design for Secure FPGA-Based Embedded Systems

A PUF Design for Secure FPGA-Based Embedded Systems A PUF Design for Secure FPGA-Based Embedded Systems Jason H. Anderson Department of Electrical and Computer Engineering University of Toronto Toronto, Ontario, Canada e-mail: janders@eecg.toronto.edu Abstract

More information

Adapting Voltage Ramp-up Time for Temperature Noise Reduction on Memory-based PUFs

Adapting Voltage Ramp-up Time for Temperature Noise Reduction on Memory-based PUFs Adapting Voltage Ramp-up Time for Temperature Noise Reduction on Memory-based PUFs Mafalda Cortez Said Hamdioui Delft University of Technology Faculty of EE, Mathematics and CS Mekelweg 4, 2628 CD Delft,

More information

Statistical Static Timing Analysis: How simple can we get?

Statistical Static Timing Analysis: How simple can we get? Statistical Static Timing Analysis: How simple can we get? Chirayu Amin, Noel Menezes *, Kip Killpack *, Florentin Dartu *, Umakanta Choudhury *, Nagib Hakim *, Yehea Ismail ECE Department Northwestern

More information

Lecture 8: Skew Tolerant Domino Clocking

Lecture 8: Skew Tolerant Domino Clocking Lecture 8: Skew Tolerant Domino Clocking Computer Systems Laboratory Stanford University horowitz@stanford.edu Copyright 2001 by Mark Horowitz (Original Slides from David Harris) 1 Introduction Domino

More information

RRAM-based PUF: Design and Applications in Cryptography. Ayush Shrivastava

RRAM-based PUF: Design and Applications in Cryptography. Ayush Shrivastava RRAM-based PUF: Design and Applications in Cryptography by Ayush Shrivastava A Thesis Presented in Partial Fulfillment of the Requirements for the Degree Master of Science Approved July 2015 by the Graduate

More information

Performance Metrics and Empirical Results of a PUF Cryptographic Key Generation ASIC

Performance Metrics and Empirical Results of a PUF Cryptographic Key Generation ASIC Performance Metrics and Empirical Results of a PUF Cryptographic Key Generation ASIC The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters.

More information

Security Evaluation and Enhancement of Bistable Ring PUFs

Security Evaluation and Enhancement of Bistable Ring PUFs ecurity Evaluation and Enhancement of Bistable ing PUFs FIDec, June 23, 25 Xiaolin Xu (), Ulrich ührmair (2) Daniel Holcomb () and Wayne Burleson () () UMass Amherst (2) HGI, U Bochum This material is

More information

Physical Unclonable Functions and Applications: A Tutorial

Physical Unclonable Functions and Applications: A Tutorial INVITED PAPER Physical Unclonable Functions and Applications: A Tutorial This paper is a tutorial on ongoing work in physical-disorder-based security, security analysis, and implementation choices. By

More information

Type 943C, Polypropylene Capacitors, for High Pulse, Snubber Very High dv/dt for Snubber Applications

Type 943C, Polypropylene Capacitors, for High Pulse, Snubber Very High dv/dt for Snubber Applications Type 943 oval, axial film capacitors utilize a hybrid section design of polypropylene film, metal foils and metallized polypropylene dielectric to achieve both high peak current as well as superior rms

More information

Robust and Reverse-Engineering Resilient PUF Authentication and Key-Exchange by Substring Matching

Robust and Reverse-Engineering Resilient PUF Authentication and Key-Exchange by Substring Matching .9/TETC.24.23635, IEEE Transactions on Emerging Topics in Computing Robust and Reverse-Engineering Resilient PUF Authentication and Key-Exchange by Substring Matching Masoud Rostami, Mehrdad Majzoobi,

More information

Robust and Reverse-Engineering Resilient PUF Authentication and Key-Exchange by Substring Matching

Robust and Reverse-Engineering Resilient PUF Authentication and Key-Exchange by Substring Matching Received 10 May 2013; revised 9 October 2013; accepted 22 December 2013. Date of publication xx xxx xxxx; date of current version xx xxx xxxx. Digital Object Identifier 10.1109/TETC.2014.2300635 Robust

More information

Type MPF, AC Motor Run Capacitors Oval and Round, Oil Filled, 70 ºC, Metallized Polypropylene Capacitors

Type MPF, AC Motor Run Capacitors Oval and Round, Oil Filled, 70 ºC, Metallized Polypropylene Capacitors Type MPF AC metallized polypropylene film dielectric capacitors offer a reliable option for alternating current applications. All devices are oil filled in metal cases with 4-prong quick disconnect terminals

More information

SPARKS Smart Grids Week Stakeholder Workshop

SPARKS Smart Grids Week Stakeholder Workshop SPARKS Smart Grids Week Stakeholder Workshop Smart meter (gateway) authentication and key management using hardware PUFs Physical structures are unique every physical object is unique, has a specific fingerprint

More information

The good, the bad and the statistical

The good, the bad and the statistical The good, the bad and the statistical Noel Menezes Strategic CAD Labs Design and Technology Solutions Intel Corp. Acknowledgements Keith Bowman Yossi Abulafia Steve Burns Mahesh Ketkar Vivek De Jim Tschanz

More information

3. Instructions. Figure 1. Schematic of IRD1011-A Evaluation Board

3. Instructions. Figure 1. Schematic of IRD1011-A Evaluation Board IRD1011-A Rev.0.0 1. General Description IRD1011-A is an evaluation board for IR1011 which is a small mid-infrared photo diode made of InSb. IR1011 is applicable to carbon dioxide or flame detection. 2.

More information

Case Material: Molded Plastic. UL Flammability Classification Low Reverse Leakage Current

Case Material: Molded Plastic. UL Flammability Classification Low Reverse Leakage Current Pb 4A GLASS PASSIVATED BRIDGE RECTIFIER Features Mechanical Data Glass Passivated Die Construction Case: Rating to 1,000V PRV Case Material: Molded Plastic. UL Flammability Classification Low Reverse Leakage

More information

Application of Importance Sampling using Contaminated Normal Distribution to Multidimensional Variation Analysis

Application of Importance Sampling using Contaminated Normal Distribution to Multidimensional Variation Analysis 1, 2 1 3, 4 1 3 1 Monte Carlo g(x) g(x) g(x) g(x) g(x) / 6-24 SRAM Monte Carlo 2 5 Application of Importance Sampling using Contaminated Normal Distribution to Multidimensional Variation Analysis Shiho

More information

Efficient Power Conversion Corporation

Efficient Power Conversion Corporation The egan FET Journey Continues Using egan FETs for Envelope Tracking Buck Converters Johan Strydom Efficient Power Conversion Corporation EPC - The Leader in egan FETs PELS 2014 www.epc-co.com 1 Agenda

More information

Fig. 1. Min-Max Timing Simulation 1, 3 1, 2 1, 2 1, , 3 3, 4

Fig. 1. Min-Max Timing Simulation 1, 3 1, 2 1, 2 1, , 3 3, 4 2009 27th IEEE VLSI Test Symposium Output Hazard-Free Transition Delay Fault Test Generation Sreekumar Menon 1, Adit D. Singh 2, Vishwani Agrawal 2 1 Advanced Micro Devices 7171 Southwest Parkway Austin,

More information

SELECTABLE GTL VOLTAGE REFERENCE

SELECTABLE GTL VOLTAGE REFERENCE 1 SN74GTL3004 www.ti.com... SCBS873A FEBRUARY 2008 REVISED APRIL 2008 SELECTABLE GTL VOLTAGE REFERENCE 1FEATURES V DD Range: 3.0 V to 3.6 V V TT Range: 1 V to 1.3 V Provides Selectable GTL V REF 0.615

More information

Ultrafast epitaxial rectifier diode in a SOT226 (I2PAK) plastic package. Discontinuous Current Mode (DCM) Power Factor Correction (PFC)

Ultrafast epitaxial rectifier diode in a SOT226 (I2PAK) plastic package. Discontinuous Current Mode (DCM) Power Factor Correction (PFC) Rev. 01 4 February 2010 Product data sheet 1. Product profile 1.1 General description Ultrafast epitaxial rectifier diode in a SOT226 (I2PAK) plastic package 1.2 Features and benefits Fast switching High

More information

Type SF Motor-Run and Power Supply Capacitors Oil Filled/Impregnated, AC Rated, Metallized Polypropylene Capacitors

Type SF Motor-Run and Power Supply Capacitors Oil Filled/Impregnated, AC Rated, Metallized Polypropylene Capacitors Specifications Click here to view hardware Type SF, AC rated metallized polypropylene capacitors provide starting torque and power factor correction for split phase motors typically used in refrigeration

More information

PUF-Based UC-Secure Commitment without Fuzzy Extractor

PUF-Based UC-Secure Commitment without Fuzzy Extractor PUF-Based UC-Secure Commitment without Fuzzy Extractor Huanzhong Huang Department of Computer Science, Brown University Joint work with Feng-Hao Liu Advisor: Anna Lysyanskaya May 1, 2013 Abstract Cryptographic

More information

Passivated, sensitive gate thyristors in a SOT54 plastic package. General purpose switching and phase control.

Passivated, sensitive gate thyristors in a SOT54 plastic package. General purpose switching and phase control. Rev. 5 November Product data sheet. Product profile. General description Passivated, sensitive gate thyristors in a SOT54 plastic package.. Features and benefits Designed to be interfaced directly to microcontrollers,

More information

PMBFJ111; PMBFJ112; PMBFJ113

PMBFJ111; PMBFJ112; PMBFJ113 SOT23 PMBFJ111; PMBFJ112; PMBFJ113 Rev. 4 20 September 2011 Product data sheet 1. Product profile 1.1 General description Symmetrical in a SOT23 package. 1.2 Features and benefits High-speed switching

More information

Cypress Reports Second-Quarter 2013 Results

Cypress Reports Second-Quarter 2013 Results July 18, 2013 Cypress Reports Second-Quarter 2013 Results SAN JOSE, Calif.--(BUSINESS WIRE)-- Cypress Semiconductor Corp. (NASDAQ: CY) today announced its second-quarter 2013 results, which included the

More information

DISCRETE SEMICONDUCTORS DATA SHEET

DISCRETE SEMICONDUCTORS DATA SHEET DISCRETE SEMICONDUCTORS DATA SHEET book, halfpage M3D252 BGY687 600 MHz, 21.5 db gain push-pull amplifier Supersedes data of 1995 Sep 11 2001 Nov 08 FEATURES Excellent linearity Extremely low noise Silicon

More information

maxon motor maxon motor control EPOS Positioning Controller Getting Started Edition July 2007 Positioning Controller Documentation Getting Started

maxon motor maxon motor control EPOS Positioning Controller Getting Started Edition July 2007 Positioning Controller Documentation Getting Started control EPOS Positioning Controller Getting Started Edition July 2007 24/1 Positioning Controller Documentation Getting Started maxon document number 573049-08 EPOS Positioning Controller EPOS 24/1 Getting

More information

BAT54 series 1. Product profile 2. Pinning information Schottky barrier diodes 1.1 General description 1.2 Features and benefits

BAT54 series 1. Product profile 2. Pinning information Schottky barrier diodes 1.1 General description 1.2 Features and benefits SOT2 Rev. 5 5 October 2012 1. Product profile 1.1 General description Planar with an integrated guard ring for stress protection, encapsulated in a small SOT2 (TO-26AB) Surface-Mounted Device (SMD) plastic

More information

Practice 10: Ratioed Logic

Practice 10: Ratioed Logic Practice 0: Ratioed Logic Digital Electronic Circuits Semester A 0 Ratioed vs. Non-Ratioed Standard CMOS is a non-ratioed logic family, because: The logic function will be correctly implemented regardless

More information

Type FCA Acrylic Surface Mount Film Capacitors

Type FCA Acrylic Surface Mount Film Capacitors Acrylic Stacked Metallized Film Capacitors for Filtering and Noise Attenuation Type FCA acrylic flm chips are non-inductive stacked metallized film capacitors which feature large capacitance values in

More information

BLF7G20L-160P; BLF7G20LS-160P

BLF7G20L-160P; BLF7G20LS-160P BLF7G20L-160P; BLF7G20LS-160P Rev. 01 22 June 2010 Objective data sheet 1. Product profile 1.1 General description 160 W LDMOS power transistor for base station applications at frequencies from 1800 MHz

More information

Example. Security of Bistable Ring PUF

Example. Security of Bistable Ring PUF Example Challenge bits select weights, stage index determines signs Response tells whether sum is negative or positive Additive delay model (like Arbiter PUF) t 0 b 1 + t 2 t 3 + b 4 b 5 + t 6 t 7 1 0

More information

CMPSCI 311: Introduction to Algorithms Second Midterm Practice Exam SOLUTIONS

CMPSCI 311: Introduction to Algorithms Second Midterm Practice Exam SOLUTIONS CMPSCI 311: Introduction to Algorithms Second Midterm Practice Exam SOLUTIONS November 17, 2016. Name: ID: Instructions: Answer the questions directly on the exam pages. Show all your work for each question.

More information

± 0.2 ppm/ C ± 3 ppm/ C. ± 2.0 ppm/ C

± 0.2 ppm/ C ± 3 ppm/ C. ± 2.0 ppm/ C Models # 303119Z and 303119 (Current Sensing Fixed Foil Resistor Chips VCS1625Z/VCS1625 Configuration) Screen/Test Flow in Compliance with EEE-INST-002, (Tables 2A and 3A, Film/Foil, Level 1) and MIL-PRF-55342

More information

The PUF Promise (Short Paper)

The PUF Promise (Short Paper) The PUF Promise (Short Paper) Heike Busch 1, Miroslava Sotáková 2, Stefan Katzenbeisser 1, and Radu Sion 2 1 Technische Universität Darmstadt 2 Stony Brook University Abstract. Physical Uncloneable Functions

More information

Type 940C, Polypropylene Capacitors, for Pulse, Snubber High dv/dt for Snubber Applications

Type 940C, Polypropylene Capacitors, for Pulse, Snubber High dv/dt for Snubber Applications Type 94C, Polypropylene Capacitors, for Pulse, Snubber Type 94 round, axial leaded film capacitors have polypropylene film and dual metallized electrodes for both self healing properties and high peak

More information

CENTURYLINK OPERATING COMPANIES TARIFF F.C.C. NO. 11 ORIGINAL INDEX PAGE General Contracts

CENTURYLINK OPERATING COMPANIES TARIFF F.C.C. NO. 11 ORIGINAL INDEX PAGE General Contracts ORIGINAL INDEX PAGE 24-0 Alphabetical By SUBJECT PAGE General... 24-1 Contracts... 24-2 ORIGINAL PAGE 24-1 This section may contain information in regard to services for which the issuing carrier has received

More information

How to Generate Repeatable Keys Using Physical Unclonable Functions

How to Generate Repeatable Keys Using Physical Unclonable Functions Noname manuscript No. (will be inserted by the editor) How to Generate Repeatable Keys Using Physical Unclonable Functions Correcting PUF Errors with Iteratively Broadening and Prioritized Search Nathan

More information

Monolithic Amplifier CMA-83LN+ Low Noise, Wideband, High IP3. 50Ω 0.5 to 8.0 GHz

Monolithic Amplifier CMA-83LN+ Low Noise, Wideband, High IP3. 50Ω 0.5 to 8.0 GHz Low Noise, Wideband, High IP3 Monolithic Amplifier 50Ω 0.5 to 8.0 GHz The Big Deal Ceramic, hermetically sealed, nitrogen filled Low profile case, 0.045 Flat gain over wideband Low noise figure, 1.3 db

More information

Intrinsic Rowhammer PUFs: Leveraging the Rowhammer Effect for Improved Security

Intrinsic Rowhammer PUFs: Leveraging the Rowhammer Effect for Improved Security Intrinsic Rowhammer PUFs: Leveraging the Rowhammer Effect for Improved Security André Schaller, Wenjie Xiong, Nikolaos Athanasios Anagnostopoulos, Muhammad Umair Saleem, Sebastian Gabmeyer, Stefan Katzenbeisser

More information

Modeling Logic Gates with Delay- Part#1

Modeling Logic Gates with Delay- Part#1 Modelg Logic Gates with Delay- Part#1 by George Lungu - The previous series of tutorials treated logic gates ideally with considerg propagation delays, havg perfect edges at the put (negligible rise time

More information

Communications Point Data Base for Serial and Ethernet Communications Protocol DNP3

Communications Point Data Base for Serial and Ethernet Communications Protocol DNP3 Switchgear Technical Data TD280015EN Effective July 2015 Supersedes R280-90-18 8/2012 Communications Point Data Base for Serial and Ethernet Communications Protocol DNP3 For use with Eaton s Cooper Power

More information

THERMOPILE DETECTORS FOR MEASUREMENT. TPD 1T 0224, TPD 1T 0524, TPD 1T 0624 General-Purpose Thermopile

THERMOPILE DETECTORS FOR MEASUREMENT. TPD 1T 0224, TPD 1T 0524, TPD 1T 0624 General-Purpose Thermopile THERMOPILE DETECTORS FOR MEASUREMENT THERMOPILE DETECTORS FOR MEASUREMENT TPD 1T 0224, TPD 1T 0524, TPD 1T 0624 General-Purpose Thermopile Applications This is our general-purpose range of thermopile detectors

More information

SC-70 Evaluation Board User Guide UG-112

SC-70 Evaluation Board User Guide UG-112 SC-70 Evaluation Board User Guide UG-112 One Technology Way P.O. Box 9106 Norwood, MA 02062-9106, U.S.A. Tel: 781.329.4700 Fax: 781.461.3113 www.analog.com Evaluation Board for Single, High Speed Op Amps

More information

SOT-23 Single Op Amp Evaluation Board User Guide UG-838

SOT-23 Single Op Amp Evaluation Board User Guide UG-838 SOT-23 Single Op Amp Evaluation Board User Guide One Technology Way P.O. Box 9106 Norwood, MA 02062-9106, U.S.A. Tel: 781.329.4700 Fax: 781.461.3113 www.analog.com Evaluation Board for Single, High Speed

More information

TB General description. 2. Features and benefits. 3. Applications. 4. Pinning information. 5. Ordering information

TB General description. 2. Features and benefits. 3. Applications. 4. Pinning information. 5. Ordering information 30 September 2016 Product data sheet 1. General description High voltage, high speed, planar passivated NPN power switching transistor in a SOT54 (TO92) plastic package intended for use in low power SMPS

More information

DISCONTINUED CONTACT KEMET FOR EQUIVALENT REPLACEMENT

DISCONTINUED CONTACT KEMET FOR EQUIVALENT REPLACEMENT Molded, Radial Lead, Solid Tantalum Capacitors Specifications Capacitance Range: Voltage Range: Tolerance: Operating Temperature Range: DC Leakage: Capacitance Change Maximum: Maximum Power Dissipation:

More information

Type AXLH -40 ºC to +150 ºC High Performance Axial Leaded Aluminum Electrolyic Capacitors

Type AXLH -40 ºC to +150 ºC High Performance Axial Leaded Aluminum Electrolyic Capacitors High Performance Axial Leaded Aluminum Electrolyic Capacitors Type AXLH capacitors are a new generation of high performance aluminum electrolytic capacitors rated up to 2000 hours at 150 ºC. They are designed

More information

CGTA: Current Gain-based Timing Analysis for Logic Cells

CGTA: Current Gain-based Timing Analysis for Logic Cells GTA: urrent Ga-based Timg Analysis for Logic ells S. Nazarian, M. Pedram University of Shern alifornia EE-Systems, Los Angeles A 90089 T. L, E. Tuncer Magma Design Automation Santa lara, A 95054 rosstalk-aware

More information

MATH SPEAK - TO BE UNDERSTOOD AND MEMORIZED

MATH SPEAK - TO BE UNDERSTOOD AND MEMORIZED FOM 11 T9 STANDARD DEVIATION 1 MATH SPEAK - TO BE UNDERSTOOD AND MEMORIZED 1) STATISTICS = the branch of mathematics used to analyze and interpret data. 2) DATA = information, often in the form of numbers,

More information

VOLUME I M I C R O P H O N E S, H E A D S E T S & R E C E I V E R S S E L E C T I O N G U I D E

VOLUME I M I C R O P H O N E S, H E A D S E T S & R E C E I V E R S S E L E C T I O N G U I D E VOLUME I M I C R O P H O N E, H E A D E T & R E C E I V E R E L E C T I O N G U I D E A History of Excellence Challenge Electronics, Inc. is a world class supplier of high-performance sounding devices

More information

SiPM characterization report for the Muon Portal Project Device: SiPM type N on P - S/N. SPM10H5-60N-Y wf16 ST Microelectronics

SiPM characterization report for the Muon Portal Project Device: SiPM type N on P - S/N. SPM10H5-60N-Y wf16 ST Microelectronics OSSERVATORIO ASTROFISICO DI CATANIA SiPM characterization report for the Muon Portal Project Device: SiPM type N on P - S/N. SPM10H5-60N-Y223131-wf16 ST Microelectronics Osservatorio Astrofisico di Catania

More information

Lattice Model of System Evolution. Outline

Lattice Model of System Evolution. Outline Lattice Model of System Evolution Richard de Neufville Professor of Engineering Systems and of Civil and Environmental Engineering MIT Massachusetts Institute of Technology Lattice Model Slide 1 of 48

More information

AC Line Rated Ceramic Disc Capacitors Class X1, 760 V AC / Class Y1, 500 V AC

AC Line Rated Ceramic Disc Capacitors Class X1, 760 V AC / Class Y1, 500 V AC 4L Series AC Line Rated Ceramic Disc Capacitors Class X, 76 V AC / Class Y, 5 V AC FEATURES Complies with IEC 6384-4, 4 th edition High reliability Radial leads High capacitance up to nf Singlelayer AC

More information

Not Recommended for New Design

Not Recommended for New Design "Spansion, Inc." and "Cypress Semiconductor Corp." have merged together to deliver high-performance, high-quality solutions at the heart of today's most advanced embedded systems, from automotive, industrial

More information

Forever/ Together. Good/Well. COWELL About Us - CI & Philosophy

Forever/ Together. Good/Well. COWELL About Us - CI & Philosophy COWELL About Us - CI & Philosophy Compound Word for Together Forever Well Aiming for Win-Win situation between COWELL and Customers/Employees/Suppliers Forever/ Together Good/Well 2 COWELL About Us - History

More information

MiCOM P443-6/P543-7/P841

MiCOM P443-6/P543-7/P841 MiCOM P443-6/P543-7/P841 Release Notes P443-6/P543-7/P841 Upgrade Platform Hardware Version: M, P Platform Software Version: 75, 65, 45 Publication Reference: P443-6/P543-7/P841-RNC1-TM-EN-1 ALSTOM 2013.

More information

Evaluation Board User Guide UG-129

Evaluation Board User Guide UG-129 Evaluation Board User Guide UG-129 One Technology Way P.O. Box 9106 Norwood, MA 02062-9106, U.S.A. Tel: 781.329.4700 Fax: 781.461.3113 www.analog.com Universal Evaluation Board for Dual High Speed Op Amps

More information

4. Basic distributions with R

4. Basic distributions with R 4. Basic distributions with R CA200 (based on the book by Prof. Jane M. Horgan) 1 Discrete distributions: Binomial distribution Def: Conditions: 1. An experiment consists of n repeated trials 2. Each trial

More information

Type 778P/779P, Orange Drop, Polypropylene Film/Foil Capacitors

Type 778P/779P, Orange Drop, Polypropylene Film/Foil Capacitors Type 778P/779P Orange Drop 400 Volts A-C Polypropylene Film/Foil Capacitors Features Specifically designed for A-C voltage applications where corona free operation is required for high reliability. Extremely

More information

1. better to stick. 2. better to switch. 3. or does your second choice make no difference?

1. better to stick. 2. better to switch. 3. or does your second choice make no difference? The Monty Hall game Game show host Monty Hall asks you to choose one of three doors. Behind one of the doors is a new Porsche. Behind the other two doors there are goats. Monty knows what is behind each

More information

Developing Survey Expansion Factors

Developing Survey Expansion Factors Developing Survey Expansion Factors Objective: To apply expansion factors to the results of a household travel survey and to apply trip rates to calculate total trips. It is eighteen months later and the

More information

Type 941C, Polypropylene Capacitors, for Pulse, Snubber High dv/dt for Snubber Applications

Type 941C, Polypropylene Capacitors, for Pulse, Snubber High dv/dt for Snubber Applications Type 941C flat, oval film capacitors are constructe with polypropylene film an ual metallize electroes for both self healing properties an high peak current carrying capability (V/t). This series features

More information

Is Now Part of To learn more about ON Semiconductor, please visit our website at

Is Now Part of To learn more about ON Semiconductor, please visit our website at Is Now Part of To learn more about ON Semiconductor, please visit our website at ON Semiconductor and the ON Semiconductor logo are trademarks of Semiconductor Components Industries, LLC dba ON Semiconductor

More information

Do s and Don ts for Commercial Success of PICs

Do s and Don ts for Commercial Success of PICs Photonic Fraunhofer Integration Conference Heinrich Hertz 2016 Institute Do s and Don ts for Commercial Success of PICs Martin Schell, PHI 2016, Eindhoven Fraunhofer Heinrich Hertz Institute, Einsteinufer

More information

Optimal Portfolios and Random Matrices

Optimal Portfolios and Random Matrices Optimal Portfolios and Random Matrices Javier Acosta Nai Li Andres Soto Shen Wang Ziran Yang University of Minnesota, Twin Cities Mentor: Chris Bemis, Whitebox Advisors January 17, 2015 Javier Acosta Nai

More information

Power dissipation comparable to SOT23 Package height typ mm AEC-Q101 qualified

Power dissipation comparable to SOT23 Package height typ mm AEC-Q101 qualified Rev. 1 12 October 2017 Preliminary data sheet 1 Product profile 1.1 General description General-purpose Zener diode, encapsulated in an SOD882D leadless ultra small Surface-Mounted Device (SMD) plastic

More information

DazStat. Introduction. Installation. DazStat is an Excel add-in for Excel 2003 and Excel 2007.

DazStat. Introduction. Installation. DazStat is an Excel add-in for Excel 2003 and Excel 2007. DazStat Introduction DazStat is an Excel add-in for Excel 2003 and Excel 2007. DazStat is one of a series of Daz add-ins that are planned to provide increasingly sophisticated analytical functions particularly

More information