Moving PUFs out of the lab

Size: px
Start display at page:

Download "Moving PUFs out of the lab"

Transcription

1 Moving PUFs out of the lab Patrick Schaumont 2/3/2012 Research results by Abhranil Maiti, Jeff Casarona, Luke McHale, Logan McDougall, Vikash Gunreddy, Michael Cantrell

2 What is a Physical Unclonable Function? A one-way function with a mapping determined by uncontrolled, but static, variations of a physical object Laser Input: Spot position Beam angle Sensor Output: Speckle Pattern sheet of paper 2

3 A Digital PUF Model 00 entropy The uncontrolled, static variations can be encoded as a bit-string of finite length The entropy of a PUF is the minimum length required to capture all variations of interest 3

4 A Digital PUF Model PUF Static Random Variable The (ideal) PUF is a static random variable The real world is not ideal! (more later) 4

5 PUF Applications PUF Static Random Variable ID L bits The (ideal) PUF is a static random variable Cryptographic Key Generation: Construct a secret L-bit vector out of the PUF static random variable 5

6 PUF Applications Challenge K bits PUF Static Random Variable ID L bits The (ideal) PUF is a static random variable Authentication: Generate an L-bit response out of a K-bit challenge using the PUF static random variable 6

7 A real PUF PUF Static Random Variable A real PUF is not a static random variable Noise Environmental parameters (temp, voltage) Aging Hence, some PUFs are better than others 7

8 Outline PUF Quality Metrics Design Space Quality Metrics Analysis PUF Aging Experiment Impact on Quality Metrics Conclusions 8

9 (Lots of) Silicon PUFs Name Entropy Year Arbiter PUF Edge Arrival Time 2004 Coating PUF Distribution of Parasitic Capacitance 2006 Ring Oscillator PUF Distribution of Frequencies 2007 SRAM PUF Startup Value of Bit Cells 2007 Butterfly PUF Race condition in S/R Flip-Flop 2009 Glitch PUF Glitch Timing in Combinational Logic 2010 Mecca PUF Write Failure in SRAM Bit Cell

10 Classification of Silicon PUFs Intrinsic* PUFs Entropy harvesting based on circuit startup or circuit failure Circuit can be reused in normal operating conditions Non-intrinsic PUFs Entropy harvesting under regular operating conditions These circuits are dedicated * Not a commonly agreed-upon definition; but makes most sense 10

11 (Lots of) Silicon PUFs Name Entropy Year Arbiter PUF Edge Arrival Time 2004 Coating PUF Distribution of Parasitic Capacitance 2006 Ring Oscillator PUF Distribution of Frequencies 2007 SRAM PUF Startup Value of Bit Cells 2007 Butterfly PUF Race condition in S/R Flip-Flop 2009 Glitch PUF Glitch Timing in Combinational Logic 2010 Mecca PUF Write Failure in SRAM Bit Cell 2011 Intrinsic Non-Intrinsic 11

12 Estimating PUF Quality PUF Challenge K bits ID Static L bits Random Variable SRAM PUF? Arbiter PUF? RO PUF? Mecca PUF? How to select among x PUF designs with roughly the same I/O? (K, L bits) How to decide which provides a better source of static entropy? 12

13 The PUF Quality Design Space We cannot measure entropy directly, but we can estimate it by measuring a large amount of devices Analyze PUF responses in a four-dimensional space: Chip index (N) Bit index in a ID (L) Measurement index for each ID (T) Bit index in challenge (K) This proposal only concerns the source of entropy; it does not cover area cost, performance 13

14 Starting point: Measuring PUFs ECE VT students use a prototyping FPGA board with a unique serial number We measured, over 2 years, 193 of these boards, each configured with an RO PUF 14

15 How to Encourage Data Collection 15

16 Measurements Database chips, one PUF per chip, 512 RO s per PUF, 100 measurements per PUF N = 193, L = 512, T = 100, K = NA 16

17 First Impression Average Frequency of each RO over 125 FPGAs 17

18 7 Metrics Characterize Design Space Population Uniqueness Probability of Misclassification Identifier Uniformity Bit Aliasing Stability Reliability Steadiness Challenge/Response Diffuseness Based on proposals by Maiti, Hori, Su, ao. 18

19 7 Metrics Characterize Design Space Population Uniqueness Probability of Misclassification Identifier Uniformity Bit Aliasing Stability Reliability Steadiness Challenge/Response Diffuseness Based on proposals by Maiti, Hori, Su, ao. 19

20 Metrics characterizing chip population Uniqueness K N L T Average Hamming Distance between IDs (over K, L, N) Expect 50% 20

21 Metrics characterizing chip identifier Uniformity (of chip i) K N L T All Devices N All Challenges K Average Hamming Weight of Identifier Bits Expect 50% 21

22 Metrics characterizing chip identifier Bit Aliasing K N L T All Devices N All Challenges K Average Hamming Weight of bit i from L, averaged over all identifiers Expect 50% 22

23 Metrics characterizing chip identifier Bit Aliasing K N L T All Devices N All Challenges K Average Hamming Weight of bit i from L, averaged over all identifiers

24 Practical Comparisons RO-PUF Spartan 3E 90nm Arbiter PUF Virtex 5 65nm N=193 chips L=512-bit ID T=100 Meas/ID K=1 ID/Chip N=45 chips L=128-bit ID T=1024 Meas/ID K=1024 ID/Chip Virginia Tech AIST, JP oh/sasebo/en/index.html 24

25 Comparative Analysis Arbiter PUF Ring Osc PUF Ideal PUF Uniqueness* 36.7% 94.1% 100% PMSID Uniformity 55.7% 50.6% 100% Bit Aliasing 19.6% 50.6% 50% Reliability 99.8% 99.1% 100% Steadiness 98.5% 98.5% 100% Diffuseness 98.4% - 100% * Scaled to 100% 25

26 Sample Size is Important Confidence is proportional to /sqrt(n) Arbiter PUF Ring Osc PUF Uniqueness 36.7% ± 15.4% 94.1% ± 1.5% Uniformity 55.7% ± 0.3% 50.6% ± 0.2% Steadiness 98.5% ± 2.1% 98.5% ± 0.05% Two-sided 95% confidence 26

27 Outline PUF Quality Metrics Design Space Quality Metrics Analysis PUF Aging Experiment Impact on Quality Metrics Conclusions 27

28 Stability issues: PUF Aging PUF PUF Static Random Variable Static Random Variable Aging induces permanent electrical changes Slower transistors, lower drive capability (NBTI, HCI, TDDB) Wires degrade or fail (Electromigration) 28

29 How to test aging effects Simulated by heating/overpowering Eg. MIL-STD-883G C shorter at higher temperatures, at specified bias Thermal Chamber 29

30 Experimental Setup T Stress V Stress Nominal V 1.2V V Stress 1.5V/1.8V Room Temperature 1 2 Temperature Stress (70C/80C)

31 What to expect? PDF HD 31

32 Impact on Oscillation Frequency V stress impact more substantial 32

33 Impact on Oscillation Frequency Frequency of 512 RO s under T + V Stress 33

34 Significant Impact on Reliability 34

35 Impact on Uniqueness Since Uniqueness is a property of a population, a model for frequency change is needed Frequency of oscillator j in chip i Average Frequency of Population Static Process Variations Variations Due to Aging Variations Due to Noise Derived from Measurement Database (N chips) Derived from Aging Experiment (1 chip) 35

36 Distribution of average frequency of 512 RO s after aging Aging after 400Hrs with T+V stress (Location-independent) Simulated Aging (Average, StdDev) 36

37 Distribution of variations over 100 repeated measurements Results in zero-mean normal distribution Aging + Noise distributions enable simulation of aging effects Aged Frequency Chip i Oscillator j = Population Average Static Deviation Chip i Oscillator j N( aging, aging ) N(, noise_after_aging ) 37

38 Aging Results for 178 FPGA s Uniqueness for a population of 178 FPGA s after aging Average Min Max Std Original V-stress 200 Hrs V-stress 400 Hrs T+V-stress 200 Hrs T+V-stress 400 Hrs Almost no impact! 38

39 Conclusions 4 dimensions characterize PUF quality Population Identifier Stability Challenge/Response Quality factors determined empirically PUFs grow old Impact on reliability is significant Impact on uniqueness is marginal 39

40 References A. Maiti et al, A Large Scale Characterization of RO PUF, HOST 2010 A. Maiti et al, The Impact of Aging on an FPGA-based Physical Unclonable Function, FPL 2011 A. Maiti et al, A Systematic Method to evaluate and Compare the Performance of Physical Unclonable Functions, IACR eprint 2011/657 Y. Su et al, A Digital 1.6 pj/bit chip identification circuit using Process Variations, JSSCC 43(1):69-77, Y. Hori et al, Quantitative and statistical performance evaluation of arbiter PUFs on FPGAs, RECONFIG 2010 R. Maes, I. Verbauwhede, Physically Unclonable Functions: A Study on the State of the Art and Future Research Directions, Springer,

41 41

Employing Process Variation for Building Chip Identifiers

Employing Process Variation for Building Chip Identifiers Turning Lemons into Lemonade: Employing Process Variation for Building Chip Identifiers Leyla Nazhandali, Electrical and Computer Eng. Department Virginia Tech Outline Part 1: What are PUFs? Identity of

More information

FPGA PUF Based on Programmable LUT Delays

FPGA PUF Based on Programmable LUT Delays FPGA PUF Based on Programmable LUT Delays Bilal Habib Kris Gaj Jens-Peter Kaps Cryptographic Engineering Research Group (CERG) http://cryptography.gmu.edu Department of ECE, Volgenau School of Engineering,

More information

IMPROVING THE QUALITY OF A PHYSICAL UNCLONABLE FUNCTION USING CONFIGURABLE RING OSCILLATORS Abhranil Maiti, Patrick Schaumont

IMPROVING THE QUALITY OF A PHYSICAL UNCLONABLE FUNCTION USING CONFIGURABLE RING OSCILLATORS Abhranil Maiti, Patrick Schaumont IMPROVING THE QUALITY OF A PHYSICAL UNCLONABLE FUNCTION USING CONFIGURABLE RING OSCILLATORS Abhranil Maiti, Patrick Schaumont Electrical and Computer Engineering Department Virginia Tech Blacksburg, VA

More information

www.unique-project.eu Exchange of security-critical data Computing Device generates, stores and processes security-critical information Computing Device 2 However: Cryptographic secrets can be leaked by

More information

PUF RO (RING OSCILLATOR)

PUF RO (RING OSCILLATOR) PUF RO (RING OSCILLATOR) EEC 492/592, CIS 493 Hands-on Experience on Computer System Security Chan Yu Cleveland State University CIRCUIT PUF - PREVIOUS WORK Ravikanth et. al proposed the first PUF in literature

More information

High Reliability PUF using Hot-Carrier Injection Based Response Reinforcement

High Reliability PUF using Hot-Carrier Injection Based Response Reinforcement High Reliability PUF using Hot-Carrier Injection Based Response Reinforcement Mudit Bhargava and Ken Mai Electrical and Computer Engineering Carnegie Mellon University CHES 2013 Key Generation using PUFs

More information

Soft Response Generation and Thresholding Strategies for Linear and Feed-Forward MUX PUFs

Soft Response Generation and Thresholding Strategies for Linear and Feed-Forward MUX PUFs Soft Response Generation and Thresholding Strategies for Linear and Feed-Forward MUX PUFs Chen Zhou, SarojSatapathy, YingjieLao, KeshabK. Parhiand Chris H. Kim Department of ECE University of Minnesota

More information

Secure and Energy Efficient Physical Unclonable Functions

Secure and Energy Efficient Physical Unclonable Functions University of Massachusetts Amherst ScholarWorks@UMass Amherst Masters Theses 1911 - February 2014 Dissertations and Theses 2012 Secure and Energy Efficient Physical Unclonable Functions Sudheendra Srivathsa

More information

Physical Unclonable Functions (PUFs) and Secure Processors. Srini Devadas Department of EECS and CSAIL Massachusetts Institute of Technology

Physical Unclonable Functions (PUFs) and Secure Processors. Srini Devadas Department of EECS and CSAIL Massachusetts Institute of Technology Physical Unclonable Functions (PUFs) and Secure Processors Srini Devadas Department of EECS and CSAIL Massachusetts Institute of Technology 1 Security Challenges How to securely authenticate devices at

More information

Microprocessor Based Physical Unclonable Function

Microprocessor Based Physical Unclonable Function Microprocessor Based Physical Unclonable Function Sudeendra kumar K, Sauvagya Sahoo, Abhishek Mahapatra, Ayas Kanta Swain, K.K.Mahapatra kumar.sudeendra@gmail.com, sauvagya.nitrkl@gmail.com, kmaha2@gmail.com

More information

Adapting Voltage Ramp-up Time for Temperature Noise Reduction on Memory-based PUFs

Adapting Voltage Ramp-up Time for Temperature Noise Reduction on Memory-based PUFs Adapting Voltage Ramp-up Time for Temperature Noise Reduction on Memory-based PUFs Mafalda Cortez Said Hamdioui Delft University of Technology Faculty of EE, Mathematics and CS Mekelweg 4, 2628 CD Delft,

More information

A Physical Unclonable Function based on Capacitor Mismatch in a Charge-Redistribution SAR-ADC

A Physical Unclonable Function based on Capacitor Mismatch in a Charge-Redistribution SAR-ADC A Physical Unclonable Function based on Capacitor Mismatch in a Charge-Redistribution SAR-ADC Qianying Tang, Won Ho Choi, Luke Everson, Keshab K. Parhi and Chris H. Kim University of Minnesota Department

More information

Bitline PUF:! Building Native Challenge-Response PUF Capability into Any SRAM. Daniel E. Holcomb Kevin Fu University of Michigan

Bitline PUF:! Building Native Challenge-Response PUF Capability into Any SRAM. Daniel E. Holcomb Kevin Fu University of Michigan Sept 26, 24 Cryptographic Hardware and Embedded Systems Bitline PUF:! Building Native Challenge-Response PUF Capability into Any SRAM Daniel E. Holcomb Kevin Fu University of Michigan Acknowledgment: This

More information

Active and Passive Side-Channel Attacks on Delay Based PUF Designs

Active and Passive Side-Channel Attacks on Delay Based PUF Designs 1 Active and Passive Side-Channel Attacks on Delay Based PUF Designs Georg T. Becker, Raghavan Kumar Abstract Physical Unclonable Functions (PUFs) have emerged as a lightweight alternative to traditional

More information

A DRAM based Physical Unclonable Function Capable of Generating >10 32 Challenge Response Pairs per 1Kbit Array for Secure Chip Authentication

A DRAM based Physical Unclonable Function Capable of Generating >10 32 Challenge Response Pairs per 1Kbit Array for Secure Chip Authentication A DRAM based Physical Unclonable Function Capable of Generating >10 32 Challenge Response Pairs per 1Kbit Array for Secure Chip Authentication Q. Tang, C. Zhou, *W. Choi, *G. Kang, *J. Park, K. K. Parhi,

More information

Physically Unclonable Functions: a Study on the State of the Art and Future Research Directions.

Physically Unclonable Functions: a Study on the State of the Art and Future Research Directions. Physically Unclonable Functions: a Study on the State of the Art and Future Research Directions. Roel Maes, Ingrid Verbauwhede 1 Introduction The idea of using intrinsic random physical features to identify

More information

Dopingless Transistor based Hybrid Oscillator Arbiter Physical Unclonable Function

Dopingless Transistor based Hybrid Oscillator Arbiter Physical Unclonable Function Dopingless Transistor based Hybrid Oscillator Arbiter Physical Unclonable Function V. P. Yanambaka 1, S. P. Mohanty 2, E. Kougianos 3, P. Sundaravadivel 4 and J. Singh 5 NanoSystem Design Laboratory (NSDL,

More information

Novel Reconfigurable Silicon Physical Unclonable Functions

Novel Reconfigurable Silicon Physical Unclonable Functions Novel Reconfigurable Silicon Physical Unclonable Functions Yingjie Lao and Keshab K. Parhi epartment of Electrical and Computer Engineering, University of Minnesota, Twin Cities {laoxx25, parhi}@umn.edu

More information

Variation Aware Placement for Efficient Key Generation using Physically Unclonable Functions in Reconfigurable Systems

Variation Aware Placement for Efficient Key Generation using Physically Unclonable Functions in Reconfigurable Systems University of Massachusetts Amherst ScholarWorks@UMass Amherst Masters Theses Dissertations and Theses 2016 Variation Aware Placement for Efficient Key Generation using Physically Unclonable Functions

More information

A PUF Design for Secure FPGA-Based Embedded Systems

A PUF Design for Secure FPGA-Based Embedded Systems A PUF Design for Secure FPGA-Based Embedded Systems author line author line2 author line3 Abstract The concept of having an integrated circuit (IC) generate its own unique digital signature has broad application

More information

Ultra-lightweight and Reconfigurable Tristate Inverter Based Physical Unclonable Function Design

Ultra-lightweight and Reconfigurable Tristate Inverter Based Physical Unclonable Function Design Ultra-lightweight and Reconfigurable Inverter Based Physical Unclonable Function Design Cui, Y., Gu, C., Wang, C., O'Neill, M., & Liu, W. (2018). Ultra-lightweight and Reconfigurable Inverter Based Physical

More information

A PUF Design for Secure FPGA-Based Embedded Systems

A PUF Design for Secure FPGA-Based Embedded Systems A PUF Design for Secure FPGA-Based Embedded Systems Jason H. Anderson Department of Electrical and Computer Engineering University of Toronto Toronto, Ontario, Canada e-mail: janders@eecg.toronto.edu Abstract

More information

SPARKS Smart Grids Week Stakeholder Workshop

SPARKS Smart Grids Week Stakeholder Workshop SPARKS Smart Grids Week Stakeholder Workshop Smart meter (gateway) authentication and key management using hardware PUFs Physical structures are unique every physical object is unique, has a specific fingerprint

More information

Security Evaluation and Enhancement of Bistable Ring PUFs

Security Evaluation and Enhancement of Bistable Ring PUFs ecurity Evaluation and Enhancement of Bistable ing PUFs FIDec, June 23, 25 Xiaolin Xu (), Ulrich ührmair (2) Daniel Holcomb () and Wayne Burleson () () UMass Amherst (2) HGI, U Bochum This material is

More information

Realization of MUX-Based PUF for low power applications

Realization of MUX-Based PUF for low power applications IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 11, Issue 6, Ver. IV (Nov.-Dec.2016), PP 33-43 www.iosrjournals.org Realization of MUX-Based

More information

Reliable and efficient PUF-based key generation using pattern matching

Reliable and efficient PUF-based key generation using pattern matching Reliable and efficient PUF-based key generation using pattern matching The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As

More information

Performance Metrics and Empirical Results of a PUF Cryptographic Key Generation ASIC

Performance Metrics and Empirical Results of a PUF Cryptographic Key Generation ASIC Performance Metrics and Empirical Results of a PUF Cryptographic Key Generation ASIC The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters.

More information

Example. Security of Bistable Ring PUF

Example. Security of Bistable Ring PUF Example Challenge bits select weights, stage index determines signs Response tells whether sum is negative or positive Additive delay model (like Arbiter PUF) t 0 b 1 + t 2 t 3 + b 4 b 5 + t 6 t 7 1 0

More information

Processor-Based Strong Physical Unclonable Functions with Aging-Based Response Tuning

Processor-Based Strong Physical Unclonable Functions with Aging-Based Response Tuning IEEE TRANSACTIONS ON EMERGING TOPICS IN COMPUTING, VOL. X, NO. X, DECEMBER 213 1 Processor-Based Strong Physical Unclonable Functions with Aging-Based Response Tuning Joonho Kong, Member, IEEE, and Farinaz

More information

How to Generate Repeatable Keys Using Physical Unclonable Functions

How to Generate Repeatable Keys Using Physical Unclonable Functions Noname manuscript No. (will be inserted by the editor) How to Generate Repeatable Keys Using Physical Unclonable Functions Correcting PUF Errors with Iteratively Broadening and Prioritized Search Nathan

More information

Reverse Engineering and Prevention Techniques for Physical Unclonable Functions Using Side Channels

Reverse Engineering and Prevention Techniques for Physical Unclonable Functions Using Side Channels Reverse Engineering and Prevention Techniques for Physical Unclonable Functions Using Side Channels Sheng Wei * James B. Wendt * Ani Nahapetian * Miodrag Potkonjak * * University of California, Los Angeles

More information

The PUF Promise (Short Paper)

The PUF Promise (Short Paper) The PUF Promise (Short Paper) Heike Busch 1, Miroslava Sotáková 2, Stefan Katzenbeisser 1, and Radu Sion 2 1 Technische Universität Darmstadt 2 Stony Brook University Abstract. Physical Uncloneable Functions

More information

AFRL-RI-RS-TR

AFRL-RI-RS-TR AFRL-RI-RS-TR-2014-018 IC PIRACY PROTECTION BY APUF AND LOGIC OBFUSCATION RICE UNIVERSITY JANUARY 2014 FINAL TECHNICAL REPORT STINFO COPY AIR FORCE RESEARCH LABORATORY INFORMATION DIRECTORATE AIR FORCE

More information

Robust and Reverse-Engineering Resilient PUF Authentication and Key-Exchange by Substring Matching

Robust and Reverse-Engineering Resilient PUF Authentication and Key-Exchange by Substring Matching Received 10 May 2013; revised 9 October 2013; accepted 22 December 2013. Date of publication xx xxx xxxx; date of current version xx xxx xxxx. Digital Object Identifier 10.1109/TETC.2014.2300635 Robust

More information

REPORT DOCUMENTATION PAGE

REPORT DOCUMENTATION PAGE REPORT DOCUMENTATION PAGE Form Approved OMB No. 74-88 The public reporting burden for this collection of information is estimated to average hour per response, including the time for reviewing instructions,

More information

The DRAM Latency PUF:

The DRAM Latency PUF: The DRAM Latency PUF: Quickly Evaluating Physical Unclonable Functions by Exploiting the Latency-Reliability Tradeoff in Modern Commodity DRAM Devices Jeremie S. Kim Minesh Patel Hasan Hassan Onur Mutlu

More information

SRAM-based Physical Unclonable Functions

SRAM-based Physical Unclonable Functions Feb 26, 25 @ Worcester Polytechnic Institute SRAM-based Physical Unclonable Functions Daniel E. Holcomb UMass Amherst Collaborators for these works: Wayne P Burleson Kevin Fu Amir Rahmati Uli Ruhrmair

More information

Physical Unclonable Functions and Applications: A Tutorial

Physical Unclonable Functions and Applications: A Tutorial INVITED PAPER Physical Unclonable Functions and Applications: A Tutorial This paper is a tutorial on ongoing work in physical-disorder-based security, security analysis, and implementation choices. By

More information

Statistical Static Timing Analysis: How simple can we get?

Statistical Static Timing Analysis: How simple can we get? Statistical Static Timing Analysis: How simple can we get? Chirayu Amin, Noel Menezes *, Kip Killpack *, Florentin Dartu *, Umakanta Choudhury *, Nagib Hakim *, Yehea Ismail ECE Department Northwestern

More information

Robust and Reverse-Engineering Resilient PUF Authentication and Key-Exchange by Substring Matching

Robust and Reverse-Engineering Resilient PUF Authentication and Key-Exchange by Substring Matching .9/TETC.24.23635, IEEE Transactions on Emerging Topics in Computing Robust and Reverse-Engineering Resilient PUF Authentication and Key-Exchange by Substring Matching Masoud Rostami, Mehrdad Majzoobi,

More information

arxiv: v1 [cs.cr] 26 Jan 2015

arxiv: v1 [cs.cr] 26 Jan 2015 A new Definition and Classification of Physical Unclonable Functions arxiv:1501.06363v1 [cs.cr] 26 Jan 2015 ABSTRACT Rainer Plaga Federal Office for Information Security (BSI) Godesberger Allee 185-189

More information

A Heuristic Method for Statistical Digital Circuit Sizing

A Heuristic Method for Statistical Digital Circuit Sizing A Heuristic Method for Statistical Digital Circuit Sizing Stephen Boyd Seung-Jean Kim Dinesh Patil Mark Horowitz Microlithography 06 2/23/06 Statistical variation in digital circuits growing in importance

More information

EE115C Spring 2013 Digital Electronic Circuits. Lecture 19: Timing Analysis

EE115C Spring 2013 Digital Electronic Circuits. Lecture 19: Timing Analysis EE115C Spring 2013 Digital Electronic Circuits Lecture 19: Timing Analysis Outline Timing parameters Clock nonidealities (skew and jitter) Impact of Clk skew on timing Impact of Clk jitter on timing Flip-flop-

More information

Lightweight and Secure PUF Key Storage Using Limits of Machine Learning

Lightweight and Secure PUF Key Storage Using Limits of Machine Learning Lightweight and Secure PUF Key Storage Using Limits of Machine Learning Meng-Day (Mandel) Yu 1, David M Raihi 1, Richard Sowell 1, and Srinivas Devadas 2 1 Verayo Inc., San Jose, CA, USA {myu,david,rsowell}@verayo.com

More information

Soft Response Generation and Thresholding Strategies for Linear and Feed-Forward MUX PUFs

Soft Response Generation and Thresholding Strategies for Linear and Feed-Forward MUX PUFs Soft esponse Generation and Thresholding Strategies for Linear and Feed-Forward MUX PUFs Chen Zhou, Saroj Satapathy, Yingjie Lao, Keshab K. Parhi and Chris H. Kim Department of ECE, University of Minnesota,

More information

RRAM-based PUF: Design and Applications in Cryptography. Ayush Shrivastava

RRAM-based PUF: Design and Applications in Cryptography. Ayush Shrivastava RRAM-based PUF: Design and Applications in Cryptography by Ayush Shrivastava A Thesis Presented in Partial Fulfillment of the Requirements for the Degree Master of Science Approved July 2015 by the Graduate

More information

Intrinsic Rowhammer PUFs: Leveraging the Rowhammer Effect for Improved Security

Intrinsic Rowhammer PUFs: Leveraging the Rowhammer Effect for Improved Security Intrinsic Rowhammer PUFs: Leveraging the Rowhammer Effect for Improved Security André Schaller, Wenjie Xiong, Nikolaos Athanasios Anagnostopoulos, Muhammad Umair Saleem, Sebastian Gabmeyer, Stefan Katzenbeisser

More information

Lecture 8: Skew Tolerant Design (including Dynamic Circuit Issues)

Lecture 8: Skew Tolerant Design (including Dynamic Circuit Issues) Lecture 8: Skew Tolerant Design (including Dynamic Circuit Issues) Computer Systems Laboratory Stanford University horowitz@stanford.edu Copyright 2007 by Mark Horowitz w/ material from David Harris 1

More information

PUF-Based UC-Secure Commitment without Fuzzy Extractor

PUF-Based UC-Secure Commitment without Fuzzy Extractor PUF-Based UC-Secure Commitment without Fuzzy Extractor Huanzhong Huang Department of Computer Science, Brown University Joint work with Feng-Hao Liu Advisor: Anna Lysyanskaya May 1, 2013 Abstract Cryptographic

More information

Pathloss and Link Budget From Physical Propagation to Multi-Path Fading Statistical Characterization of Channels. P r = P t Gr G t L P

Pathloss and Link Budget From Physical Propagation to Multi-Path Fading Statistical Characterization of Channels. P r = P t Gr G t L P Path Loss I Path loss L P relates the received signal power P r to the transmitted signal power P t : P r = P t Gr G t L P, where G t and G r are antenna gains. I Path loss is very important for cell and

More information

High throughput implementation of the new Secure Hash Algorithm through partial unrolling

High throughput implementation of the new Secure Hash Algorithm through partial unrolling High throughput implementation of the new Secure Hash Algorithm through partial unrolling Konstantinos Aisopos Athanasios P. Kakarountas Haralambos Michail Costas E. Goutis Dpt. of Electrical and Computer

More information

1 The ECN module. Note

1 The ECN module. Note Version 1.11.0 NOVA ECN tutorial 1 The ECN module The ECN is an optional module for the Autolab PGSTAT. The ECN module provides the means to perform Electrochemical Noise measurements (ECN). Electrochemical

More information

Lecture 20: Sequential Circuits. Sequencing

Lecture 20: Sequential Circuits. Sequencing Lecture 20: Sequential Circuits Sequencing Elements Simple /FF Timing efinitions Source: Ch 7 (W&H) Sequencing Use flip-flops to delay fast tokens so they move through exactly one stage each cycle. Inevitably

More information

Navy Fire & Emergency Services Project Spring 2012

Navy Fire & Emergency Services Project Spring 2012 Navy Fire & Emergency Services Project Spring 2012 Saiful Hannan Adam Mosquera Craig Vossler Sponsored by Fred Woodaman Innovative Decisions Inc Where Innovation Is Tradition Agenda Introduction and Background

More information

± 0.2 ppm/ C ± 3 ppm/ C. ± 2.0 ppm/ C

± 0.2 ppm/ C ± 3 ppm/ C. ± 2.0 ppm/ C Models # 303119Z and 303119 (Current Sensing Fixed Foil Resistor Chips VCS1625Z/VCS1625 Configuration) Screen/Test Flow in Compliance with EEE-INST-002, (Tables 2A and 3A, Film/Foil, Level 1) and MIL-PRF-55342

More information

SAFETY COMMAND DEVICES

SAFETY COMMAND DEVICES AFY COMMAND DVIC 00 -top ope witch he 00 -top ope witch series is used with preference with expansive points of operation. Its simple operation ensures fast stop command output along the point of operation.

More information

The good, the bad and the statistical

The good, the bad and the statistical The good, the bad and the statistical Noel Menezes Strategic CAD Labs Design and Technology Solutions Intel Corp. Acknowledgements Keith Bowman Yossi Abulafia Steve Burns Mahesh Ketkar Vivek De Jim Tschanz

More information

Monolithic Amplifier CMA-162LN+ Ultra Low Noise, High IP to 1.6 GHz

Monolithic Amplifier CMA-162LN+ Ultra Low Noise, High IP to 1.6 GHz Ultra Low Noise, High IP3 Monolithic Amplifier 50Ω 0.7 to 1.6 GHz The Big Deal Ceramic, Hermetically Sealed, Nitrogen filled Low profile case,.045 high Ultra Low Noise Figure, 0.5 db High Gain, High IP3

More information

TRΛNSPΛRΣNCY ΛNΛLYTICS

TRΛNSPΛRΣNCY ΛNΛLYTICS TRΛNSPΛRΣNCY ΛNΛLYTICS RISK-AI, LLC PRESENTATION INTRODUCTION I. Transparency Analytics is a state-of-the-art risk management analysis and research platform for Investment Advisors, Funds of Funds, Family

More information

PHYSICAL UNCLONABLE FUNCTION HARDWARE KEYS UTILIZING KIRCHHOFF-LAW-JOHNSON-NOISE SECURE KEY EXCHANGE AND NOISE-BASED LOGIC

PHYSICAL UNCLONABLE FUNCTION HARDWARE KEYS UTILIZING KIRCHHOFF-LAW-JOHNSON-NOISE SECURE KEY EXCHANGE AND NOISE-BASED LOGIC Fluctuation and Noise Letters Vol. 12, No. 3 (2013) 1350018 (11 pages) c World Scientific Publishing Company DOI: 10.1142/S0219477513500181 PHYSICAL UNCLONABLE FUNCTION HARDWARE KEYS UTILIZING KIRCHHOFF-LAW-JOHNSON-NOISE

More information

Square D Modbus Solution: Altivar 58 VFD

Square D Modbus Solution: Altivar 58 VFD Point Map November 1, 2004 Systems Integration Square D Modbus Solution: Altivar 58 VFD Table 1. Altivar 58 VFD Point Map, Application 4509. 1 LAO Slave Address 1to247 2 LAI Application Number 4509 3 LDI

More information

Fig. 1. Min-Max Timing Simulation 1, 3 1, 2 1, 2 1, , 3 3, 4

Fig. 1. Min-Max Timing Simulation 1, 3 1, 2 1, 2 1, , 3 3, 4 2009 27th IEEE VLSI Test Symposium Output Hazard-Free Transition Delay Fault Test Generation Sreekumar Menon 1, Adit D. Singh 2, Vishwani Agrawal 2 1 Advanced Micro Devices 7171 Southwest Parkway Austin,

More information

Finite state machines (cont d)

Finite state machines (cont d) Finite state machines (cont d)! Another type of shift register " Linear-feedback shift register (LFSR)! Used to generate pseudo-random numbers! Some FSM examples Autumn 2014 CSE390C - VIII - Finite State

More information

CHROMA ATE INC Q Investor Conference. Paul Ying, CFO Leo Huang, Chairman & CEO

CHROMA ATE INC Q Investor Conference. Paul Ying, CFO Leo Huang, Chairman & CEO CHROMA ATE INC. 2016.2Q Investor Conference Paul Ying, CFO Leo Huang, Chairman & CEO 28 th July, 2016 1 1 Disclaimer This presentation contains some forward-looking statements that are subject to substantial

More information

Practice 10: Ratioed Logic

Practice 10: Ratioed Logic Practice 0: Ratioed Logic Digital Electronic Circuits Semester A 0 Ratioed vs. Non-Ratioed Standard CMOS is a non-ratioed logic family, because: The logic function will be correctly implemented regardless

More information

Use XLQ to Extend Your AAII Stock Investor Pro Analysis

Use XLQ to Extend Your AAII Stock Investor Pro Analysis Use XLQ to Extend Your AAII Stock Investor Pro Analysis Prepared for AAII Silicon Valley Computerized Investing SI Pro Users Group Michael J Begley michaelbegley@earthlink.net October 15, 2007 10/15/2007

More information

Application of Importance Sampling using Contaminated Normal Distribution to Multidimensional Variation Analysis

Application of Importance Sampling using Contaminated Normal Distribution to Multidimensional Variation Analysis 1, 2 1 3, 4 1 3 1 Monte Carlo g(x) g(x) g(x) g(x) g(x) / 6-24 SRAM Monte Carlo 2 5 Application of Importance Sampling using Contaminated Normal Distribution to Multidimensional Variation Analysis Shiho

More information

PUF Design - User Interface

PUF Design - User Interface PUF Design - User Interface September 27, 2011 1 Introduction Design an efficient Physical Unclonable Functions (PUF): PUFs are low-cost security primitives required to protect intellectual properties

More information

BLF7G20L-160P; BLF7G20LS-160P

BLF7G20L-160P; BLF7G20LS-160P BLF7G20L-160P; BLF7G20LS-160P Rev. 01 22 June 2010 Objective data sheet 1. Product profile 1.1 General description 160 W LDMOS power transistor for base station applications at frequencies from 1800 MHz

More information

Lecture outline W.B. Powell 1

Lecture outline W.B. Powell 1 Lecture outline Applications of the newsvendor problem The newsvendor problem Estimating the distribution and censored demands The newsvendor problem and risk The newsvendor problem with an unknown distribution

More information

Design of a Financial Application Driven Multivariate Gaussian Random Number Generator for an FPGA

Design of a Financial Application Driven Multivariate Gaussian Random Number Generator for an FPGA Design of a Financial Application Driven Multivariate Gaussian Random Number Generator for an FPGA Chalermpol Saiprasert, Christos-Savvas Bouganis and George A. Constantinides Department of Electrical

More information

Path Loss Models and Link Budget

Path Loss Models and Link Budget Path Loss Models and Link Budget A universal path loss model P r dbm = P t dbm + db Gains db Losses Gains: the antenna gains compared to isotropic antennas Transmitter antenna gain Receiver antenna gain

More information

Energy Storage Systems (ESS): Preparing to Deal with the Hazards

Energy Storage Systems (ESS): Preparing to Deal with the Hazards 2017 NFPA Conference & Expo Energy Storage Systems (ESS): Preparing to Deal with the Hazards Presented by: Skip Donnell Engineering Manager Liberty Mutual Insurance Legal Disclaimer: Course Focus & Limitations

More information

The Duration Derby: A Comparison of Duration Based Strategies in Asset Liability Management

The Duration Derby: A Comparison of Duration Based Strategies in Asset Liability Management The Duration Derby: A Comparison of Duration Based Strategies in Asset Liability Management H. Zheng Department of Mathematics, Imperial College London SW7 2BZ, UK h.zheng@ic.ac.uk L. C. Thomas School

More information

Economic Framework for Power Quality

Economic Framework for Power Quality Economic Framework for Power Quality Dr. R Venkatesh, Deputy General Manager, Switchgear-6 and Power Quality Business, Crompton Greaves Ltd., Aurangabad. 1. Background With the increasing emphasis on energy

More information

Automated Options Trading Using Machine Learning

Automated Options Trading Using Machine Learning 1 Automated Options Trading Using Machine Learning Peter Anselmo and Karen Hovsepian and Carlos Ulibarri and Michael Kozloski Department of Management, New Mexico Tech, Socorro, NM 87801, U.S.A. We summarize

More information

Designing with an Inverted-F PCB Antenna

Designing with an Inverted-F PCB Antenna Page 1 Application Note 5052 24 April 2008 Designing with an Inverted-F PCB Antenna For the EM250 and EM2 Platforms This document describes an Inverted-F PCB antenna designed by Ember for use with both

More information

RN1441, RN1442, RN1443, RN1444

RN1441, RN1442, RN1443, RN1444 TOSHIBA Transistor Silicon NPN Epitaxial Type (PCT Process) RN1441 RN1444 RN1441, RN1442, RN1443, RN1444 Muting and Switching Applications Unit: mm High emitter-base voltage: V EBO = 25V (min) High reverse

More information

IMPROVED PRODUCT VCS331, VCS332

IMPROVED PRODUCT VCS331, VCS332 Bulk Metal Foil Technology High Precision 4-Terminal Power Current Sensing Resistors with TCR as low as ± 1 ppm/ C Maximum, Tolerance ± 0.1 % and Rise Time 1.0 ns Effectively No Ringing INTRODUCTION The

More information

Bits and Bit Patterns. Chapter 1: Data Storage (continued) Chapter 1: Data Storage

Bits and Bit Patterns. Chapter 1: Data Storage (continued) Chapter 1: Data Storage Chapter 1: Data Storage Computer Science: An Overview by J. Glenn Brookshear Chapter 1: Data Storage 1.1 Bits and Their Storage 1.2 Main Memory 1.3 Mass Storage 1.4 Representing Information as Bit Patterns

More information

Broadband covering primary wireless communications bands: Cellular, PCS, LTE, WiMAX, SATELLITE IF

Broadband covering primary wireless communications bands: Cellular, PCS, LTE, WiMAX, SATELLITE IF Flat Gain, High IP3 Monolithic Amplifier 50Ω 0.01 to 6 GHz The Big Deal Ceramic, Hermetically Sealed, Nitrogen filled Low profile case,.045 high Ultra Flat Gain Broadband High Dynamic Range without external

More information

FSM Optimization. Outline. FSM State Minimization. Some Definitions. Methods 10/14/2015

FSM Optimization. Outline. FSM State Minimization. Some Definitions. Methods 10/14/2015 /4/25 C2: Digital Design http://jatinga.iitg.ernet.in/~asahu/cs22 FSM Optimization Outline FSM : State minimization Row Matching Method, Implication chart method, FSM Partitioning FSM Encoding: Random,

More information

THE PROXIMITY OF MICROVIAS TO PTHs AND ITS IMPACT ON THE RELIABILITY OF THESE MICROVIAS

THE PROXIMITY OF MICROVIAS TO PTHs AND ITS IMPACT ON THE RELIABILITY OF THESE MICROVIAS THE PROXIMITY OF MICROVIAS TO PTHs AND ITS IMPACT ON THE RELIABILITY OF THESE MICROVIAS Jaydutt Joshi Principle Program Manager RF Module Technology Development Skyworks Solutions, Inc. Irvine, California

More information

Stochastic SIL Verification for Complex Safety Instrumented Systems

Stochastic SIL Verification for Complex Safety Instrumented Systems Stochastic SIL Verification for Complex Safety Instrumented Systems Sara Shahidi and Dr. Mehran Pourzand, Monaco Engineering Solutions Limited To ensure a Safety Instrumented System (SIS) is capable of

More information

Two kinds of neural networks, a feed forward multi layer Perceptron (MLP)[1,3] and an Elman recurrent network[5], are used to predict a company's

Two kinds of neural networks, a feed forward multi layer Perceptron (MLP)[1,3] and an Elman recurrent network[5], are used to predict a company's LITERATURE REVIEW 2. LITERATURE REVIEW Detecting trends of stock data is a decision support process. Although the Random Walk Theory claims that price changes are serially independent, traders and certain

More information

Monolithic Amplifier CMA-84+ Wideband, High Dynamic Range, Ceramic. DC to 7 GHz. The Big Deal

Monolithic Amplifier CMA-84+ Wideband, High Dynamic Range, Ceramic. DC to 7 GHz. The Big Deal Wideband, High Dynamic Range, Ceramic Monolithic Amplifier Ω DC to 7 GHz The Big Deal Ceramic, hermetically sealed, nitrogen filled Low profile case,.4 High IP3, +38 dbm High Gain, 24 db High POUT, +21

More information

TOSHIBA Transistor Silicon NPN Epitaxial Planar Type 2SC5086. Characteristics Symbol Test Condition Min Typ. Max Unit

TOSHIBA Transistor Silicon NPN Epitaxial Planar Type 2SC5086. Characteristics Symbol Test Condition Min Typ. Max Unit TOSHIBA Transistor Silicon NPN Epitaxial Planar Type 2SC5086 VHF~UHF Band Low Noise Amplifier Applications Unit: mm Low noise figure, high gain. NF = 1.1dB, S 21e 2 = 11dB (f = 1 GHz) Absolute Maximum

More information

TOSHIBA Transistor Silicon NPN Epitaxial Planar Type 2SC5066. Characteristics Symbol Test Condition Min Typ. Max Unit

TOSHIBA Transistor Silicon NPN Epitaxial Planar Type 2SC5066. Characteristics Symbol Test Condition Min Typ. Max Unit TOSHIBA Transistor Silicon NPN Epitaxial Planar Type 2SC5066 VHF~UHF Band Low Noise Amplifier Applications Unit: mm Low noise figure, high gain. NF = 1.1dB, S 21e 2 = 12dB (f = 1 GHz) Absolute Maximum

More information

RN1441,RN1442,RN1443,RN1444

RN1441,RN1442,RN1443,RN1444 TOSHIBA Transistor Silicon NPN Epitaxial Type (PCT Process) RN1441,RN1442,RN1443,RN1444 Muting and Switching Applications Unit in mm High emitter-base voltage: V EBO = 25V (min) High reverse h FE : reverse

More information

MANAGEMENT SCIENCE doi /mnsc ec pp. ec1 ec5

MANAGEMENT SCIENCE doi /mnsc ec pp. ec1 ec5 MANAGEMENT SCIENCE doi 10.1287/mnsc.1070.0712ec pp. ec1 ec5 e-companion ONLY AVAILABLE IN ELECTRONIC FORM informs 2007 INFORMS Electronic Companion The Consequences of Ambidexterity in Strategic Alliance

More information

Chapter 7 A Multi-Market Approach to Multi-User Allocation

Chapter 7 A Multi-Market Approach to Multi-User Allocation 9 Chapter 7 A Multi-Market Approach to Multi-User Allocation A primary limitation of the spot market approach (described in chapter 6) for multi-user allocation is the inability to provide resource guarantees.

More information

Is Now Part of To learn more about ON Semiconductor, please visit our website at

Is Now Part of To learn more about ON Semiconductor, please visit our website at Is Now Part of To learn more about ON Semiconductor, please visit our website at www.onsemi.com ON Semiconductor and the ON Semiconductor logo are trademarks of Semiconductor Components Industries, LLC

More information

Is Now Part of. To learn more about ON Semiconductor, please visit our website at

Is Now Part of. To learn more about ON Semiconductor, please visit our website at Is Now Part of To learn more about ON Semiconductor, please visit our website at www.onsemi.com Please note: As part of the Fairchild Semiconductor integration, some of the Fairchild orderable part numbers

More information

Disclosure Risk Measurement with Entropy in Sample Based Frequency Tables

Disclosure Risk Measurement with Entropy in Sample Based Frequency Tables Disclosure Risk Measurement with Entropy in Sample Based Frequency Tables L. Antal N. Shlomo M. Elliot laszlo.antal@postgrad.manchester.ac.uk University of Manchester New Techniques and Technologies for

More information

Monolithic Amplifier CMA-83LN+ Low Noise, Wideband, High IP3. 50Ω 0.5 to 8.0 GHz

Monolithic Amplifier CMA-83LN+ Low Noise, Wideband, High IP3. 50Ω 0.5 to 8.0 GHz Low Noise, Wideband, High IP3 Monolithic Amplifier 50Ω 0.5 to 8.0 GHz The Big Deal Ceramic, hermetically sealed, nitrogen filled Low profile case, 0.045 Flat gain over wideband Low noise figure, 1.3 db

More information

Random Variables and Probability Distributions

Random Variables and Probability Distributions Chapter 3 Random Variables and Probability Distributions Chapter Three Random Variables and Probability Distributions 3. Introduction An event is defined as the possible outcome of an experiment. In engineering

More information

Supersedes: 9/01/11 (Rev.5) Preparer: Owner: Approver: Team Member, North America Process Safety Center of Expertise

Supersedes: 9/01/11 (Rev.5) Preparer: Owner: Approver: Team Member, North America Process Safety Center of Expertise Procedure No.: BC032.019 Page: 1 of 12 Preparer: Owner: Approver: Team Member, North America Process Safety Center of Expertise Manager, North America Process Safety Center of Expertise Sr. Vice President,

More information

RN1114, RN1115, RN1116, RN1117, RN1118

RN1114, RN1115, RN1116, RN1117, RN1118 TOSHIBA Transistor Silicon NPN Epitaxial Type (PCT Process) RN4~RN8 RN4, RN5, RN6, RN7, RN8 Switching, Inverter Circuit, Interface Circuit and Driver Circuit Applications Unit: mm With built-in bias resistors.

More information

PRC Remedial Action Schemes

PRC Remedial Action Schemes PRC-012-2 Remedial Action Schemes A. Introduction 1. Title: Remedial Action Schemes 2. Number: PRC-012-2 3. Purpose: To ensure that Remedial Action Schemes (RAS) do not introduce unintentional or unacceptable

More information

MATH 10 INTRODUCTORY STATISTICS

MATH 10 INTRODUCTORY STATISTICS MATH 10 INTRODUCTORY STATISTICS Tommy Khoo Your friendly neighbourhood graduate student. Midterm Exam ٩(^ᴗ^)۶ In class, next week, Thursday, 26 April. 1 hour, 45 minutes. 5 questions of varying lengths.

More information