CS 230 Winter 2013 Tutorial 7 Monday, March 4, 2013

Size: px
Start display at page:

Download "CS 230 Winter 2013 Tutorial 7 Monday, March 4, 2013"

Transcription

1 CS 230 Winter 2013 Tutorial 7 Monday, March 4, This question is based on one from the text book Computer Organization and Design (Patterson/Hennessy): Consider two different implementations of the same instruction set architecture. There are four classes of instructions, A, B, C, and D. The clock rate and CPI of each implementation are given in the following table. Clock Rate CPI Class A CPI Class B CPI Class C CPI Class D P1 1.6 GHz P2 2 GHz a. Given a program with 10 6 instructions divided into classes as follows: 10% class A, 20% class B, 50% class C and 20% class D, which implementation is faster? Since the clock rate for P1 is 1.6 GHz, this means: 1.6x10 9 cycles = 1 sec 1 cycle = 1/(1.6x10 9 ) sec 1 cycle = 0.625x10-9 sec OR 625 ps Since the clock rate for P2 is 2 GHz, through a similar calculation, we see that 1 cycle = 500 ps Total CPU time for the program using P1 is: (0.1x625ps + 0.2x2x625ps + 0.5x3x625ps + 0.2x4x625ps)x10 6 = ps = 1.75 milliseconds The total CPU time for the program using P2 is: (0.1x2x500ps + 0.2x2x500ps + 0.5x2x500ps + 0.2x2x500ps)x10 6 = ps = 1 millisecond This means that the P2 implementation is faster.

2 b. What is the global CPI for each implementation? The total number of clock cycles for P1 is (0.1x x x x4) x 10 6 = cycles Therefore the CPI is /10 6, which is a 2.8 CPI. The total number of clock cycles for P2 is (0.1x x x x2) x 10 6 = cycles Therefore the CPI is /10 6, which is a 2 CPI. OR Since all of the individual instructions in the set have a CPI of 2, then the global CPI is also Assume that the period of the clock cycles in a CPU is 200 ps. Also, assume that the time it takes complete the stages of a MIPS instruction match the chart on Slide 14 of Module 3. a. How many clock cycles are required for the instruction add $1, $2, $3? Since everything has to be coordinated on the beat of the clock, even the stages that only take 100 ps cannot move forward to the next stage until after 200ps. Since add is an example of a R- format instruction (i.e. register access only, does not require memory access), this instruction would take four stages to complete, so it would take 4 clock cycles. b. Consider the following code. Assume that all registers have values previously assigned. add $1, $2, $3 lw $4, 0($2) sw $5, 4($2) add $6, $3, $3 lw $7, 4($8) What would the CPU time be to complete these instructions assuming no pipelining? What would the CPI be for the program in this case?

3 line 1 4 cycles line 2 5 cycles line 3 4 cycles line 4 4 cycles line 5 5 cycles Total cycles: 22 Total CPU time = 22 *200 ps = 4400 ps = 4.4 ns Total instructions: 5 CPI = 22/5 = 4.4 What would the CPU time be to complete these instructions assuming pipelining? What would the CPI be for the program in this case? Since there are no hazards with this code, line 1 starts with the first cycle, line 2 starts with second cycle,, line 5 starts with the fifth cycle, and takes 5 cycles to complete. Total cycles: 9 cycles Total CPU time = 9 * 200 ps = 1800 ps = 1.8 ns Total Instructions: 5 CPI = 9/5 = 1.8 Assume that that these five lines of code are repeated 100 times (i.e. the actual lines are repeated, they are not put in a loop). What would be the CPU time to complete these 500 instructions without pipelining and with pipelining? What would the CPI be for this version of the program without pipelining and with pipelining? Without pipelining: Total cycles: 22 * 100 = 2200 Total CPU time = 2200 * 200 ps = = 440 ns Total instructions: 500 CPI = 2200/500 = 4.4

4 As with the previous question, the 500 th line will start with cycle 500, and take 5 cycles to complete. Total cycles: 504 Total CPU time: 504 * 200 ps = ps = 108 ns Total instructions: 500 CPI: 504/500 = Notice that (without hazards) the CPI is getting very close to Given following MIPS code, draw two diagrams that are similar to the ones on Slide 22 and Slide 23 of module 3: a. Diagram 1: Without forwarding, where the system stalls when data hazard happens; After the first instruction, there will be two lines with stall bubbles, since the write back from the first instruction needs to happen before the register read can happen for the second instruction. b. Diagram 2: With forwarding, where the system uses result when it is computed; With forwarding there are no stall bubbles between the first and second instruction, since the value from register $2 can be forwarded after stage 3 of the first instruction to the ALU for processing. sub $2, $1, $3 slt $12, $2, $5 slt $18, $6, $2 add $14, $2, $2 add $13, $7, $4 sw $15,100($2) Assuming that forwarding is not possible, improve the speed of the execution of the code by rearranging the order of the lines of code, without changing the final result of the program. Moving the 5 th instruction to immediately after the first instruction will avoid one of the stalls. The 5 th instruction is independent from the rest of the instructions, so it can take place at any time.

5 4. Consider the following code segment. Assuming that there is no forwarding, identify all of the hazards in this code. lw $1, 0($4) lw $2, 0($5) add $3, $1, $2 bne $3, $0, L lw $4, 100($1) lw $5, 100($2) sub $3, $4, $5 L: sw $3, 50($1) Data hazards occur: on line 3, which depends on lines 1 and 2 on line 4, which depends on line 3 on line 7, which depends on lines 5 and 6 on line 8, which depends on line 7 A control hazard occurs: on line 4 which can not choose a branch until after it determines if $3 is equal to $0 In the case where a branch instruction also involves a data hazard, you should assume that there will be stall bubbles before the branch instruction because of the data hazard, and a stall bubble after the branch instruction because of the control hazard. 5. Consider the following program addi $3, $0, 0 loop: div $1, $2 mfhi $4 bne $4, $0, 1 add $3, $3, $1 addi $1, $1, -1 bne $0, $1, loop jr $31 Assume that the twoints front end was used to run this program and the user entered 20 and 2 as the values for registers $1 and $2. Assuming that static branch prediction was used. In particular, where there is a loop (i.e. branch backwards) predict the backward branch is taken, and where there is an if (i.e. branch forwards), predict the forward branch is not taken, calculate the number of stalls that were saved using branch prediction.

6 For forward branch (if), in this case the instruction bne $4, $0, 1, static branch prediction assumes that the branch will not be taken. Since for this particular data, the branch will actually not be taken 10 times, then those potential stalls have been avoided. Also, for a loop, in this case the instruction bne $0, $1, loop, static branch prediction assumes that the branch will be taken (backward branch). Since for this particular data, the loop branch is taken 19 times, then those potential stalls have been avoided. In total, static branch prediction saved 29 stalls.

CSE Lecture 13/14 In Class Handout For all of these problems: HAS NOT CANNOT Add Add Add must wait until $5 written by previous add;

CSE Lecture 13/14 In Class Handout For all of these problems: HAS NOT CANNOT Add Add Add must wait until $5 written by previous add; CSE 30321 Lecture 13/14 In Class Handout For the sequence of instructions shown below, show how they would progress through the pipeline. For all of these problems: - Stalls are indicated by placing the

More information

EXERCISES ON PERFORMANCE EVALUATION

EXERCISES ON PERFORMANCE EVALUATION EXERCISES ON PERFORMANCE EVALUATION Exercise 1 A program is executed for 1 sec, on a processor with a clock cycle of 50 nsec and Throughput 1 = 15 MIPS. 1. How much is the CPI 1, for the program? T CLOCK

More information

CS429: Computer Organization and Architecture

CS429: Computer Organization and Architecture CS429: Computer Organization and Architecture Warren Hunt, Jr. and Bill Young epartment of Computer Sciences University of Texas at Austin Last updated: November 5, 2014 at 11:25 CS429 Slideset 16: 1 Control

More information

Why know about performance

Why know about performance 1 Performance Today we ll discuss issues related to performance: Latency/Response Time/Execution Time vs. Throughput How do you make a reasonable performance comparison? The 3 components of CPU performance

More information

Anne Bracy CS 3410 Computer Science Cornell University

Anne Bracy CS 3410 Computer Science Cornell University Anne Bracy CS 3410 Computer Science Cornell University These slides are the product of many rounds of teaching CS 3410 by Professors Weatherspoon, Bala, Bracy, and Sirer. Complex question How fast is the

More information

Mark Redekopp, All rights reserved. EE 357 Unit 12. Performance Modeling

Mark Redekopp, All rights reserved. EE 357 Unit 12. Performance Modeling EE 357 Unit 12 Performance Modeling An Opening Question An Intel and a Sun/SPARC computer measure their respective rates of instruction execution on the same application written in C Mark Redekopp, All

More information

TDT4255 Lecture 7: Hazards and exceptions

TDT4255 Lecture 7: Hazards and exceptions TDT4255 Lecture 7: Hazards and exceptions Donn Morrison Department of Computer Science 2 Outline Section 4.7: Data hazards: forwarding and stalling Section 4.8: Control hazards Section 4.9: Exceptions

More information

MEMORY SYSTEM. Mahdi Nazm Bojnordi. CS/ECE 3810: Computer Organization. Assistant Professor School of Computing University of Utah

MEMORY SYSTEM. Mahdi Nazm Bojnordi. CS/ECE 3810: Computer Organization. Assistant Professor School of Computing University of Utah MEMORY SYSTEM Mahdi Nazm Bojnordi Assistant Professor School of Computing University of Utah CS/ECE 3810: Computer Organization Overview Notes Homework 9 (deadline Apr. 9 th ) n Verify your submitted file

More information

BCN1043. By Dr. Mritha Ramalingam. Faculty of Computer Systems & Software Engineering

BCN1043. By Dr. Mritha Ramalingam. Faculty of Computer Systems & Software Engineering BCN1043 By Dr. Mritha Ramalingam Faculty of Computer Systems & Software Engineering mritha@ump.edu.my http://ocw.ump.edu.my/ authors Dr. Mohd Nizam Mohmad Kahar (mnizam@ump.edu.my) Jamaludin Sallim (jamal@ump.edu.my)

More information

How Computers Work Lecture 12

How Computers Work Lecture 12 How Computers Work Lecture 12 A Common Chore of College Life Introduction to Pipelining How Computers Work Lecture 12 Page 1 How Computers Work Lecture 12 Page 2 Page 1 1 Propagation Times Doing 1 Load

More information

ECSE 425 Lecture 5: Quan2fying Computer Performance

ECSE 425 Lecture 5: Quan2fying Computer Performance ECSE 425 Lecture 5: Quan2fying Computer Performance H&P Chapter 1 Vu, Meyer; Textbook figures 2007 Elsevier Science Last Time Trends in Dependability Quan2ta2ve Principles of Computer Design 2 Today Quan2fying

More information

EC 413 Computer Organization

EC 413 Computer Organization EC 413 Computer Organzaton CPU Performance Evaluaton Prof. Mchel A. Knsy Performance Measurement Processor performance: Executon tme Area Logc complexty Power Tme = Instructons Cycles Tme Program Program

More information

Efficient Reconfigurable Design for Pricing Asian Options

Efficient Reconfigurable Design for Pricing Asian Options Efficient Reconfigurable Design for Pricing Asian Options Anson H.T. Tse, David B. Thomas, K.H. Tsoi, Wayne Luk Department of Computing Imperial College London, UK {htt08,dt10,khtsoi,wl}@doc.ic.ac.uk ABSTRACT

More information

SPEED UP OF NUMERIC CALCULATIONS USING A GRAPHICS PROCESSING UNIT (GPU)

SPEED UP OF NUMERIC CALCULATIONS USING A GRAPHICS PROCESSING UNIT (GPU) SPEED UP OF NUMERIC CALCULATIONS USING A GRAPHICS PROCESSING UNIT (GPU) NIKOLA VASILEV, DR. ANATOLIY ANTONOV Eurorisk Systems Ltd. 31, General Kiselov str. BG-9002 Varna, Bulgaria Phone +359 52 612 367

More information

Discovery Data Warehouse

Discovery Data Warehouse Discovery Data Warehouse Presented By Richard Gallagher Chief of Application Development Information Services Organization Department of Revenue Paul Panariello Vice President, Revenue Solutions, Inc.

More information

Ultimate Control. Maxeler RiskAnalytics

Ultimate Control. Maxeler RiskAnalytics Ultimate Control Maxeler RiskAnalytics Analytics Risk Financial markets are rapidly evolving. Data volume and velocity are growing exponentially. To keep ahead of the competition financial institutions

More information

Stock Market Forecast: Chaos Theory Revealing How the Market Works March 25, 2018 I Know First Research

Stock Market Forecast: Chaos Theory Revealing How the Market Works March 25, 2018 I Know First Research Stock Market Forecast: Chaos Theory Revealing How the Market Works March 25, 2018 I Know First Research Stock Market Forecast : How Can We Predict the Financial Markets by Using Algorithms? Common fallacies

More information

Efficient Reconfigurable Design for Pricing Asian Options

Efficient Reconfigurable Design for Pricing Asian Options Efficient Reconfigurable Design for Pricing Asian Options Anson H.T. Tse, David B. Thomas, K.H. Tsoi, Wayne Luk Department of Computing Imperial College London, UK (htt08,dtl O,khtsoi,wl)@doc.ic.ac.uk

More information

Open MSI Budget Planning Module

Open MSI Budget Planning Module MSI Budgeting Open MSI Budget Planning Module 1) Enter MSI password 2) Click OK Under Maintenance Menu, click on Budget Entry If you do not Tab you will pull up the entire chart of accounts which will

More information

Report for Prediction Processor Graduate Computer Architecture I

Report for Prediction Processor Graduate Computer Architecture I Report for Prediction Processor Graduate Computer Architecture I Qian Wan Washington University in St. Louis, St. Louis, MO 63130 QW2@cec.wustl.edu Abstract This report is to fulfill the partial requirement

More information

EE115C Spring 2013 Digital Electronic Circuits. Lecture 19: Timing Analysis

EE115C Spring 2013 Digital Electronic Circuits. Lecture 19: Timing Analysis EE115C Spring 2013 Digital Electronic Circuits Lecture 19: Timing Analysis Outline Timing parameters Clock nonidealities (skew and jitter) Impact of Clk skew on timing Impact of Clk jitter on timing Flip-flop-

More information

Label the section where the total demand is the same as one demand and where total demand is different from both individual demand curves.

Label the section where the total demand is the same as one demand and where total demand is different from both individual demand curves. UVic Econ 103C with Peter Bell Technical Practice Exam #1 Markets Assigned: Monday May 12. Due: 5PM Friday May 23. Please submit a computer and/or handwritten response to each question. Please submit your

More information

GAMP 5 Quality Risk Management. Sion Wyn Conformity +[44] (0)

GAMP 5 Quality Risk Management. Sion Wyn Conformity +[44] (0) GAMP 5 Quality Risk Management Sion Wyn Conformity +[44] (0) 1492 642622 sion.wyn@conform-it.com 1 GAMP5 Key Concepts Life Cycle Approach Within a QMS Scaleable Life Cycle Activities Process and Product

More information

Issues on Time synchronization in Financial Markets

Issues on Time synchronization in Financial Markets Issues on Time synchronization in Financial Markets Wen-Hung Tseng and Huang-Tien Lin National Time and Frequency standard Lab, Telecommunication Laboratories, Chunghwa Telecom Co., Ltd., Taiwan APMP 2018

More information

20-Hour SAFE MLO Comprehensive Course Syllabus

20-Hour SAFE MLO Comprehensive Course Syllabus Course Hours: 20 Instructional Mode: Distance Education Instructor: Richard Madrigal Learning Resources 20-Hour Mortgage Loan Originator, online text Contact Information Email: safeinstructor@alliedschools.com

More information

Writing and Testing Programs

Writing and Testing Programs Writing and Testing Programs Overview Most of the assignments in this course will have you write a class or two and test with a provided driver program These slides will give some general advice to writing

More information

TD AMERITRADE TDA 1887: Veo Open Access Animation. 11/22/11 Storyboard v 4.0

TD AMERITRADE TDA 1887: Veo Open Access Animation. 11/22/11 Storyboard v 4.0 TD AMERITRADE TDA 1887: Veo Open Access 11/22/11 Storyboard v 4.0 1. : Static Screen Experience Truly Open Integration 2. : Show text on the screen Have more control over your technology solution. 3. :

More information

Forex Online Trading User Guide

Forex Online Trading User Guide Forex Online Trading User Guide WING FUNG FOREX LIMITED Tel (HK) : (852) 2303 8690 Tel (China) : 400 120 1080 Fax (HK) : (852) 2331 9505 Fax (China) : 400 120 1003 Email : cs@wfgold.com Website : www.wfgold.com

More information

stratification strategy controlled by CPUs, to adaptively allocate the optimal number of simulations to a specific segment of the entire integration d

stratification strategy controlled by CPUs, to adaptively allocate the optimal number of simulations to a specific segment of the entire integration d FPGA-accelerated Monte-Carlo integration using stratified sampling and Brownian bridges Mark de Jong, Vlad-Mihai Sima and Koen Bertels Department of Computer Engineering Delft University of Technology

More information

Mukund Sheorey President

Mukund Sheorey President 7 US Economic Outlook What Time Is It? To everything (turn, turn, turn) There is a season (turn, turn, turn) And a time for every purpose, under heaven - The Byrds, 195 Economic Cycles An enduring characteristic

More information

Cache CPI and DFAs and NFAs. CS230 Tutorial 10

Cache CPI and DFAs and NFAs. CS230 Tutorial 10 Cche CPI nd DFAs nd NFAs CS230 Tutoril 10 Multi-Level Cche: Clculting CPI When memory ccess is ttempted, wht re the possible results? ccess miss miss CPU L1 Cche L2 Cche Memory L1 cche hit L2 cche hit

More information

SIMULTRAIN STRATEGIC MANAGEMENT USER GUIDE

SIMULTRAIN STRATEGIC MANAGEMENT USER GUIDE SIMULTRAIN STRATEGIC MANAGEMENT USER GUIDE You develop a strategy for a portfolio of projects. Working in a large company, you start planning a five-year portfolio of projects. You need to put the right

More information

F1 Acceleration for Montecarlo: financial algorithms on FPGA

F1 Acceleration for Montecarlo: financial algorithms on FPGA F1 Acceleration for Montecarlo: financial algorithms on FPGA Presented By Liang Ma, Luciano Lavagno Dec 10 th 2018 Contents Financial problems and mathematical models High level synthesis Optimization

More information

Lecture 3a. Review Chapter 10 Nise. Skill Assessment Problems. G. Hovland 2004

Lecture 3a. Review Chapter 10 Nise. Skill Assessment Problems. G. Hovland 2004 METR4200 Advanced Control Lecture 3a Review Chapter 10 Nise Skill Assessment Problems G. Hovland 2004 Skill-Assessment Exercise 10.1 a) Find analytical expressions for the magnitude and phase responses

More information

Accelerating Financial Computation

Accelerating Financial Computation Accelerating Financial Computation Wayne Luk Department of Computing Imperial College London HPC Finance Conference and Training Event Computational Methods and Technologies for Finance 13 May 2013 1 Accelerated

More information

SAS Forum UK 2015 Using SAS to model the distributional impact of government policies

SAS Forum UK 2015 Using SAS to model the distributional impact of government policies SAS Forum UK 2015 Using SAS to model the distributional impact of government policies Will Bryce Labour Markets and Distributional Analysis, HM Treasury 10 June 2015 About us Labour Markets and Distributional

More information

Assessing Solvency by Brute Force is Computationally Tractable

Assessing Solvency by Brute Force is Computationally Tractable O T Y H E H U N I V E R S I T F G Assessing Solvency by Brute Force is Computationally Tractable (Applying High Performance Computing to Actuarial Calculations) E D I N B U R M.Tucker@epcc.ed.ac.uk Assessing

More information

2019 EXPAND POSSIBLE

2019 EXPAND POSSIBLE 2019 Our commitment to you. Proactive Partnerships: We are trusted, responsive partners. We work to understand your unique needs and create solutions around them; seeing opportunities to realize greater

More information

All roads lead to home

All roads lead to home All roads lead to home Important information about your home loan 1. 2. 3. 4. The benefits in Fifth Third Identity Alert are provided by Fifth Third s vendor, Affinion Benefits Group, LLC. Credit Cards

More information

Legend. Extra options used in the different configurations slow Apache (all default) svnserve (all default) file: (all default) dump (all default)

Legend. Extra options used in the different configurations slow Apache (all default) svnserve (all default) file: (all default) dump (all default) Legend Environment Computer VM on XEON E5-2430 2.2GHz; assigned 2 cores, 4GB RAM OS Windows Server 2012, x64 Storage iscsi SAN, using spinning SCSI discs Tests log $repo/ -v --limit 50000 export $ruby/trunk

More information

Quarterly portfolio Summary

Quarterly portfolio Summary Quarterly portfolio Summary Sample ETF Portfolio June 30, 2013 Target Current Investment Mix: % $ % Fixed Income: 64.95% $16,238.15 65.00% Growth: 35.00% $8,749.74 35.00% Cash/Cash Equivalents:* 0.05%

More information

Prepared by DotEcon on behalf of the Norwegian Communications Authority (Nkom)

Prepared by DotEcon on behalf of the Norwegian Communications Authority (Nkom) Bidding mechanics - clock auction and CMRA Bidding mechanics under the shortlisted auction formats for the award of spectrum in the 6 GHz, 8 GHz, low 10 GHz, high 10 GHz, 13 GHz, 18 GHz, 23 GHz, 28 GHz

More information

ADJB. (Adjustments Screen)

ADJB. (Adjustments Screen) ADJB (Adjustments Screen) Purpose: Access: This screen is used to display and update client monthly account adjustment records for the Client Pay-In System. Accessed through the Monthly Account (MACT)

More information

Long Description. Figure 15-1: Contract Status. Page 1 of 7

Long Description. Figure 15-1: Contract Status. Page 1 of 7 Page 1 of 7 Figure 15-1: Contract Status A single performance report provides the status of the Program at a point in time. When combined with previous reports, a much more revealing picture of the Program

More information

k x Unit 1 End of Module Assessment Study Guide: Module 1

k x Unit 1 End of Module Assessment Study Guide: Module 1 Unit 1 End of Module Assessment Study Guide: Module 1 vocabulary: Unit Rate: y x. How many y per each x. Proportional relationship: Has a constant unit rate. Constant of proportionality: Unit rate for

More information

Social Protection Floor Costing Tool. User Manual

Social Protection Floor Costing Tool. User Manual Social Protection Floor Costing Tool User Manual Enabling Macro on Your PC 1- Open the tool file 2- Click on Options 3- In the dialogue box, select enable this content 4- Click Ok Tool Overview This diagram

More information

Pay Application # 1 - Wellens Farwell, Inc. Quincy Municipal Office Complex project

Pay Application # 1 - Wellens Farwell, Inc. Quincy Municipal Office Complex project PUBLIC WORKS MEETING PUBLIC SERVICES BUILDING PRELIMINARY AGENDA June 11, 2015 4:00 PM Page 1. ROLL CALL 2-3 a. Councilmembers Manuel Guerrero, Adam Roduner, Paul Worley and Alt. David Day; City Administrator

More information

Fact Sheet: Everything You Need To Know About the $50 Billion Threshold

Fact Sheet: Everything You Need To Know About the $50 Billion Threshold Fact Sheet: Everything You Need To Know About the $50 Billion Threshold The Dodd-Frank Act requires the Federal Reserve (Fed) to evaluate banks with assets of at least $50 billion more closely than those

More information

Unit 3 Research Project. Eddie S. Jackson. Kaplan University. IT511: Information Systems Project Management

Unit 3 Research Project. Eddie S. Jackson. Kaplan University. IT511: Information Systems Project Management Running head: UNIT 3 RESEARCH PROJECT 1 Unit 3 Research Project Eddie S. Jackson Kaplan University IT511: Information Systems Project Management 04/06/2014 UNIT 3 RESEARCH PROJECT 2 Unit 3 Research Project

More information

Lesson 10: Interpreting Quadratic Functions from Graphs and Tables

Lesson 10: Interpreting Quadratic Functions from Graphs and Tables : Interpreting Quadratic Functions from Graphs and Tables Student Outcomes Students interpret quadratic functions from graphs and tables: zeros ( intercepts), intercept, the minimum or maximum value (vertex),

More information

Lecture 8: Skew Tolerant Domino Clocking

Lecture 8: Skew Tolerant Domino Clocking Lecture 8: Skew Tolerant Domino Clocking Computer Systems Laboratory Stanford University horowitz@stanford.edu Copyright 2001 by Mark Horowitz (Original Slides from David Harris) 1 Introduction Domino

More information

Chapter 13 Decision Analysis

Chapter 13 Decision Analysis Problem Formulation Chapter 13 Decision Analysis Decision Making without Probabilities Decision Making with Probabilities Risk Analysis and Sensitivity Analysis Decision Analysis with Sample Information

More information

Project Management Professional (PMP) Exam Prep Course 06 - Project Time Management

Project Management Professional (PMP) Exam Prep Course 06 - Project Time Management Project Management Professional (PMP) Exam Prep Course 06 - Project Time Management Slide 1 Looking Glass Development, LLC (303) 663-5402 / (888) 338-7447 4610 S. Ulster St. #150 Denver, CO 80237 information@lookingglassdev.com

More information

PUF Design - User Interface

PUF Design - User Interface PUF Design - User Interface September 27, 2011 1 Introduction Design an efficient Physical Unclonable Functions (PUF): PUFs are low-cost security primitives required to protect intellectual properties

More information

A Future for Community Credit Unions

A Future for Community Credit Unions A Future for Community Credit Unions Martin D. Eakes September 24, 2015 National Federation of CDCUs, Phoenix, Arizona Ownership and Economic Opportunity for All www.self-help.org Goals of this presentation

More information

Analytics in 10 Micro-Seconds Using FPGAs. David B. Thomas Imperial College London

Analytics in 10 Micro-Seconds Using FPGAs. David B. Thomas Imperial College London Analytics in 10 Micro-Seconds Using FPGAs David B. Thomas dt10@imperial.ac.uk Imperial College London Overview 1. The case for low-latency computation 2. Quasi-Random Monte-Carlo in 10us 3. Binomial Trees

More information

BCJR Algorithm. Veterbi Algorithm (revisted) Consider covolutional encoder with. And information sequences of length h = 5

BCJR Algorithm. Veterbi Algorithm (revisted) Consider covolutional encoder with. And information sequences of length h = 5 Chapter 2 BCJR Algorithm Ammar Abh-Hhdrohss Islamic University -Gaza ١ Veterbi Algorithm (revisted) Consider covolutional encoder with And information sequences of length h = 5 The trellis diagram has

More information

Information Notice Holiday Trade Date, Settlement Date and Margin Extensions Schedule. December 23, Executive Summary.

Information Notice Holiday Trade Date, Settlement Date and Margin Extensions Schedule. December 23, Executive Summary. Information Notice 2015 Holiday Trade Date, Settlement Date and Margin Extensions Schedule Executive Summary FINRA is providing the following schedule to assist firms and reduce the number of requests

More information

HP12 C CFALA REVIEW MATERIALS USING THE HP-12C CALCULATOR. CFALA REVIEW: Tips for using the HP 12C 2/9/2015. By David Cary 1

HP12 C CFALA REVIEW MATERIALS USING THE HP-12C CALCULATOR. CFALA REVIEW: Tips for using the HP 12C 2/9/2015. By David Cary 1 CFALA REVIEW MATERIALS USING THE HP-12C CALCULATOR David Cary, PhD, CFA Spring 2015 dcary@dcary.com (helpful if you put CFA Review in subject line) HP12 C By David Cary Note: The HP12C is not my main calculator

More information

Social Protection Floor Costing Tool. User Manual

Social Protection Floor Costing Tool. User Manual Social Protection Floor Costing Tool User Manual Enabling Macro on Your PC 1- Open the tool file 2- Click on Options 3- In the dialogue box, select enable this content 4- Click Ok Tool Overview This diagram

More information

COMPARISON OF BUDGET BORROWING AND BUDGET ADAPTATION IN HIERARCHICAL SCHEDULING FRAMEWORK

COMPARISON OF BUDGET BORROWING AND BUDGET ADAPTATION IN HIERARCHICAL SCHEDULING FRAMEWORK Märlardalen University School of Innovation Design and Engineering Västerås, Sweden Thesis for the Degree of Master of Science with Specialization in Embedded Systems 30.0 credits COMPARISON OF BUDGET

More information

1. Actual estimation may be more complex because of the use of statistical methods.

1. Actual estimation may be more complex because of the use of statistical methods. Learning Objectives: Understand inflation Use terminology related to inflation Choose a base year Calculate constant dollars Choose a deflator MODULE 7 Inflation We use the term inflation to indicate the

More information

Chapter 14. From Randomness to Probability. Copyright 2010 Pearson Education, Inc.

Chapter 14. From Randomness to Probability. Copyright 2010 Pearson Education, Inc. Chapter 14 From Randomness to Probability Copyright 2010 Pearson Education, Inc. Dealing with Random Phenomena A random phenomenon is a situation in which we know what outcomes could happen, but we don

More information

Management I: An Introduction to Financial Accounting

Management I: An Introduction to Financial Accounting Management I: An Introduction to Financial Accounting Compulsory Module Bachelor Level Winter Term 2018/19 Prof. Dr. Barbara Schöndube-Pirchegger Lehrstuhl für Unternehmensrechnung und Controlling 1 Administrative

More information

Benchmarks Open Questions and DOL Benchmarks

Benchmarks Open Questions and DOL Benchmarks Benchmarks Open Questions and DOL Benchmarks Iuliana Bacivarov ETH Zürich Outline Benchmarks what do we need? what is available? Provided benchmarks in a DOL format Open questions Map2Mpsoc, 29-30 June

More information

IP-CIS : CIS Project Management

IP-CIS : CIS Project Management Meltem Özturan www.mis.boun.edu.tr/ozturan/mis301 1 Project Management Tools and Techniques (PMTT) Feasibility Analysis Organizational Breakdown Structure Work Breakdown Structure Scheduling Earned Value

More information

An Executive s Guide to the Scaled Agile Copyright Net Objectives, Inc. All Rights Reserved 2

An Executive s Guide to the Scaled Agile Copyright Net Objectives, Inc. All Rights Reserved 2 An Executive s Guide to the Scaled Agile Framework Al Shalloway CEO, Net Objectives Al Shalloway CEO, Founder alshall@netobjectives.com @AlShalloway Copyright Net Objectives, Inc. All Rights Reserved 2

More information

Information Notice Holiday Trade Date, Settlement Date and Margin Extensions Schedule. December 21, Summary. Background.

Information Notice Holiday Trade Date, Settlement Date and Margin Extensions Schedule. December 21, Summary. Background. Information Notice 2018 Holiday Trade, Settlement and Margin Extensions Schedule Summary FINRA is providing the following schedule to assist firms and reduce the number of requests for Federal Reserve

More information

BULLION TRADING PLATFORM ONLINE USER S MANUAL

BULLION TRADING PLATFORM ONLINE USER S MANUAL BULLION TRADING PLATFORM ONLINE USER S MANUAL CATALOG WING FUNG BULLION INVESTMENT LIMITED Tel (HK) : (852) 2303 8690 Tel (China) : 400 120 1080 Fax (HK) : (852) 2331 9505 Fax (China) : 400 120 1003 Email

More information

2.0. Learning to Profit from Futures Trading with an Unfair Advantage! Trading Essentials Framework What Are the E-Mini Futures

2.0. Learning to Profit from Futures Trading with an Unfair Advantage! Trading Essentials Framework What Are the E-Mini Futures 2.0 Learning to Profit from Futures Trading with an Unfair Advantage! Trading Essentials Framework What Are E-Mini Futures? E-mini futures track the most popular broad-based stock index benchmarks in the

More information

Guided Study Program in System Dynamics System Dynamics in Education Project System Dynamics Group MIT Sloan School of Management 1

Guided Study Program in System Dynamics System Dynamics in Education Project System Dynamics Group MIT Sloan School of Management 1 Guided Study Program in System Dynamics System Dynamics in Education Project System Dynamics Group MIT Sloan School of Management Solutions to Assignment #9 November 24, 998 Reading Assignment: Please

More information

Summary of the thesis

Summary of the thesis Summary of the thesis Part I: backtesting will be different than live trading due to micro-structure games that can be played (often by high-frequency trading) which affect execution details. This might

More information

1.8. Part 1 Cars. Sep 5 9:53 AM

1.8. Part 1 Cars. Sep 5 9:53 AM 1.8 Part 1 Cars Sep 5 9:53 AM Car loans Car loans are based on the year of the car, the amount you put down, and how many months the loan will be issued. Loans are based on monthly payments A 5 year loan

More information

An Overview of CQG Hosted Gateway Services

An Overview of CQG Hosted Gateway Services An Overview of CQG Hosted Gateway Services June 2008 Copyright 2008 CQG Inc. All rights reserved. CQG and DOMTrader are trademarks of CQG, Inc. Table of Contents What are CQG Hosted Gateway Services?...

More information

INFRASTRUCTURE AND DEVELOPMENT SERVICES DIVISION

INFRASTRUCTURE AND DEVELOPMENT SERVICES DIVISION INFRASTRUCTURE AND DEVELOPMENT SERVICES DIVISION REPORT TO: DEPARTMENT: INFRASTRUCTURE AND DEVELOPMENT / SOCIAL AND HEALTH SERVICES COMMITTEE Public Works / Facilities Services MEETING DATE: November 21,

More information

Bangor University Transfer Abroad Undergraduate Programme Module Implementation Plan

Bangor University Transfer Abroad Undergraduate Programme Module Implementation Plan Bangor University Transfer Abroad Undergraduate Programme Module Implementation Plan MODULE: BUS-121 Descriptive Statistics LECTURER: Dr Francis Jones INTAKE: 2013 SEMESTER: 3 ACTIVITY TYPES:, tutorial,

More information

Bylaw Services Department

Bylaw Services Department Bylaw Services Department Bylaw Services Department 2015-2017 Operating Budget Roll-up REVENUES 2015 2016 2017 2014 2014 Q3 Proposed Proposed Proposed Description Budget Forecast Budget Budget Budget Activity

More information

Finite state machines (cont d)

Finite state machines (cont d) Finite state machines (cont d)! Another type of shift register " Linear-feedback shift register (LFSR)! Used to generate pseudo-random numbers! Some FSM examples Autumn 2014 CSE390C - VIII - Finite State

More information

SIL and Functional Safety some lessons we still have to learn.

SIL and Functional Safety some lessons we still have to learn. SIL and Functional Safety some lessons we still have to learn. David Craig, Amec This paper reflects AMEC s recent experience in undertaking functional safety assessments (FSA) (audits against IEC 61511)

More information

Steve Martens VP Investor Relations FY13 Q3

Steve Martens VP Investor Relations FY13 Q3 Steve Martens VP Investor Relations steve.martens@molex.com FY13 Q3 Forward-Looking Statement Statements in this presentation that are not historical are forward-looking and are subject to various risks

More information

Old Company Name in Catalogs and Other Documents

Old Company Name in Catalogs and Other Documents To our customers, Old Company Name in Catalogs and Other Documents On April 1 st, 2010, NEC Electronics Corporation merged with Renesas Technology Corporation, and Renesas Electronics Corporation took

More information

The Path to. Take Control of Your Financial Future. Yes, there IS a way to make money in this market! OmniTrader. Special Offer Enclosed

The Path to. Take Control of Your Financial Future. Yes, there IS a way to make money in this market! OmniTrader. Special Offer Enclosed The Path to TRADINGSUCCESS Take Control of Your Financial Future Yes, there IS a way to make money in this market! OmniTrader Special Offer Enclosed 1 Trade the Moves. Make money in ANY market. If you

More information

Prepared by S Naresh Kumar

Prepared by S Naresh Kumar Prepared by INTRODUCTION o The CPU scheduling is used to improve CPU efficiency. o It is used to allocate resources among competing processes. o Maximum CPU utilization is obtained with multiprogramming.

More information

Karl Marx and Market Failure

Karl Marx and Market Failure Unit 3 Karl Marx and Market Failure Krugman Module 74 pp. 723-726; Module 76 pp. 743-750; Module 77 pp.754-756; Module 78 pp. 761-770; Module 79 pp. 782-785 Modules 17-19 pp. 172 198 1 Greed is Good. -The

More information

Unparalleled Performance, Agility and Security for NSE

Unparalleled Performance, Agility and Security for NSE white paper Intel Xeon and Intel Xeon Scalable Processor Family Financial Services Unparalleled Performance, Agility and Security for NSE The latest Intel Xeon processor platform provides new levels of

More information

Accelerating Quantitative Financial Computing with CUDA and GPUs

Accelerating Quantitative Financial Computing with CUDA and GPUs Accelerating Quantitative Financial Computing with CUDA and GPUs NVIDIA GPU Technology Conference San Jose, California Gerald A. Hanweck, Jr., PhD CEO, Hanweck Associates, LLC Hanweck Associates, LLC 30

More information

Solutions - Final Exam (December 7:30 am) Clarity is very important! Show your procedure!

Solutions - Final Exam (December 7:30 am) Clarity is very important! Show your procedure! DPARTMNT OF LCTRICAL AND COMPUTR NGINRING, TH UNIVRSITY OF NW MXICO C-238L: Computer Logic Deign Fall 23 Solution - Final am (December th @ 7:3 am) Clarity i very important! Show your procedure! PROBLM

More information

Econ 202 Macroeconomic Analysis 2008 Winter Quarter Prof. Federico Ravenna ANSWER KEY PROBLEM SET 2 CHAPTER 3: PRODUCTIVITY, OUTPUT, AND EMPLOYMENT

Econ 202 Macroeconomic Analysis 2008 Winter Quarter Prof. Federico Ravenna ANSWER KEY PROBLEM SET 2 CHAPTER 3: PRODUCTIVITY, OUTPUT, AND EMPLOYMENT Econ 202 Macroeconomic Analysis 2008 Winter Quarter Prof. Federico Ravenna ANSWER KEY PROBLEM SET 2 CHAPTER 3: PRODUCTIVITY, OUTPUT, AND EMPLOYMENT Numerical Problems 6. Since w = 4.5 K 0.5 N -0.5, N -0.5

More information

FIGURE A1.1. Differences for First Mover Cutoffs (Round one to two) as a Function of Beliefs on Others Cutoffs. Second Mover Round 1 Cutoff.

FIGURE A1.1. Differences for First Mover Cutoffs (Round one to two) as a Function of Beliefs on Others Cutoffs. Second Mover Round 1 Cutoff. APPENDIX A. SUPPLEMENTARY TABLES AND FIGURES A.1. Invariance to quantitative beliefs. Figure A1.1 shows the effect of the cutoffs in round one for the second and third mover on the best-response cutoffs

More information

Effective for SERC Region applicable Registered Entities on the first day of the first calendar quarter after approved by FERC.

Effective for SERC Region applicable Registered Entities on the first day of the first calendar quarter after approved by FERC. Effective Date Effective for SERC Region applicable Registered Entities on the first day of the first calendar quarter after approved by FERC. Introduction 1. Title: Automatic Underfrequency Load Shedding

More information

Lecture 3: Project Management, Part 2: Verification and Validation, Project Tracking, and Post Performance Analysis

Lecture 3: Project Management, Part 2: Verification and Validation, Project Tracking, and Post Performance Analysis Lecture 3: Project Management, Part 2: Verification and Validation, Project Tracking, and Post Performance Analysis Prof. Shervin Shirmohammadi SITE, University of Ottawa Prof. Shervin Shirmohammadi ELG

More information

Lecture 3: Project Management, Part 2: Verification and Validation, Project Tracking, and Post Performance Analysis

Lecture 3: Project Management, Part 2: Verification and Validation, Project Tracking, and Post Performance Analysis Lecture 3: Project Management, Part 2: Verification and Validation, Project Tracking, and Post Performance Analysis Prof. Shervin Shirmohammadi SITE, University of Ottawa Prof. Shervin Shirmohammadi ELG

More information

MBF1413 Quantitative Methods

MBF1413 Quantitative Methods MBF1413 Quantitative Methods Prepared by Dr Khairul Anuar 4: Decision Analysis Part 1 www.notes638.wordpress.com 1. Problem Formulation a. Influence Diagrams b. Payoffs c. Decision Trees Content 2. Decision

More information

Scaling SGD Batch Size to 32K for ImageNet Training

Scaling SGD Batch Size to 32K for ImageNet Training Scaling SGD Batch Size to 32K for ImageNet Training Yang You Computer Science Division of UC Berkeley youyang@cs.berkeley.edu Yang You (youyang@cs.berkeley.edu) 32K SGD Batch Size CS Division of UC Berkeley

More information

Dr. Lee Jang Yung Senior Deputy Governor Financial Supervisory Service Korea

Dr. Lee Jang Yung Senior Deputy Governor Financial Supervisory Service Korea Bank of Indonesia and IMF Joint Conference on Coping with Asia s Large Capital Inflows in a Multi-Speed Global Economy How Should Emerging Market Countries Respond? Korea s Experience March 11, 2011 Dr.

More information

International Economics International Trade (Industrial and Commercial policies lecture 7)

International Economics International Trade (Industrial and Commercial policies lecture 7) University of Cassino Economics and Business Academic Year 2018/2019 International Economics International Trade (Industrial and Commercial policies lecture 7) Maurizio Pugno University of Cassino 1 Industrial

More information

Streamline and integrate your claims processing

Streamline and integrate your claims processing Increase flexibility Reduce costs Expedite claims Streamline and integrate your claims processing DXC Insurance RISKMASTERTM For corporate claims and self-insured organizations DXC Insurance RISKMASTER

More information

CS 106X Lecture 11: Sorting

CS 106X Lecture 11: Sorting CS 106X Lecture 11: Sorting Friday, February 3, 2017 Programming Abstractions (Accelerated) Winter 2017 Stanford University Computer Science Department Lecturer: Chris Gregg reading: Programming Abstractions

More information

BUSINESS BANKING. Cheque clearing times are changing. Business Accounts

BUSINESS BANKING. Cheque clearing times are changing. Business Accounts BUSINESS BANKING Cheque clearing times are changing Business Accounts Contents Changes to cheque clearing 3 How long it takes for cheques to clear 4 Issuing and paying in cheques 6 Depositing Sterling

More information

Circular Flow of Income

Circular Flow of Income Business Environment 2 Week 2 Circular Flow of Income 1 Learning Objectives To understand the concepts of circular flow of income To bring out the relationship between the four macroeconomic objectives

More information