CS429: Computer Organization and Architecture

Size: px
Start display at page:

Download "CS429: Computer Organization and Architecture"

Transcription

1 CS429: Computer Organization and Architecture Warren Hunt, Jr. and Bill Young epartment of Computer Sciences University of Texas at Austin Last updated: November 5, 2014 at 11:25 CS429 Slideset 16: 1

2 Control Combinations Load/use ispredict Load Use JXX Combination A Combination B Two special cases can arise on the same clock cycle. Combination A: Not-taken branch instruction at branch target Combination B: Instruction that reads from memory to %esp Followed by instruction CS429 Slideset 16: 2

3 Control Combination A Load/use ispredict Load Use JXX Combination A Combination B Condition F W Processing stall normal normal normal ispredicted normal normal normal Branch Combination stall normal normal Should handle as mispredicted branch. Stalls F pipeline register. But PC selection logic will be using _val anyway. CS429 Slideset 16: 3

4 Control Combination B: First Attempt Load/use ispredict Load Use JXX Combination A Combination B Condition F W Processing stall normal normal normal Load/Use Hazard stall stall normal normal Combination stall normal normal + stall Would attempt to and stall pipeline register. Signalled by processor as pipeline error. CS429 Slideset 16: 4

5 Control Combination B: Correct Approach Load/use ispredict Load Use JXX Combination A Combination B Condition F W Processing stall normal normal normal Load/Use Hazard stall stall normal normal Combination stall stall normal normal Load / use hazard should get priority. instruction should be held in decode stage for additional cycle. CS429 Slideset 16: 5

6 Corrected Pipeline Control Logic Condition F W Processing stall normal normal normal Load/Use Hazard stall stall normal normal Combination stall stall normal normal Load / use hazard should get priority. instruction should be held in decode stage for additional cycle. CS429 Slideset 16: 6

7 Pipeline Summary ata Hazards ost handled by forwarding with no performance penalty Load / use hazard requires one cycle stall Control Hazards Cancel instructions when detect mispredicted branch; two cycles wasted Stall fetch stage while pass through pipeline; three cycles wasted. Control Combinations ust analyze carefully First version had a subtle bug Only arises with unusual instruction combination CS429 Slideset 16: 7

8 Performance Analysis with Pipelining CPU time = Seconds Program = Instructions Program Cycles Instruction Seconds Cycle Ideal pipelined machine: CPI = 1 One instruction completed per cycle. But much faster cycle time than unpipelined machine. However, hazards work against the ideal Hazards resolved using forwarding are fine. Stalling degrades performance and instruction completion rate is interrupted. CPI is a measure of the architectural efficiency of the design. CS429 Slideset 16: 8

9 Computing CPI CPI is a function of useful instructions and s: CPI = C i + C b C i = C b C i You can reformulate this to account for: load penalties (lp) branch misprediction penalties (mp) urn penalties (rp) CPI = lp + mp + rp CS429 Slideset 16: 9

10 Computing CPI (2) So, how do we determine the penalties? epends on how often each situation occurs on average. How often does a load occur and how often does that load cause a stall? How often does a branch occur and how often is it mispredicted? How often does a urn occur? We can measure these using: a simulator, or hardware performance counters. We can also estimate them through historical averages. Then use estimates to make early design tradeoffs for the architecture. CS429 Slideset 16: 10

11 Computing CPI (3) Cause Name Instruction Condition Stalls Product Frequency Frequency Load/use lp ispredict mp Return rp Total penalty 0.31 This is not ideal. This gets worse when: CPI = = 1.31 == 31% you also account for non-ideal memory access latency; deeper pipeline (where stalls per hazard increase). CS429 Slideset 16: 11

CSE Lecture 13/14 In Class Handout For all of these problems: HAS NOT CANNOT Add Add Add must wait until $5 written by previous add;

CSE Lecture 13/14 In Class Handout For all of these problems: HAS NOT CANNOT Add Add Add must wait until $5 written by previous add; CSE 30321 Lecture 13/14 In Class Handout For the sequence of instructions shown below, show how they would progress through the pipeline. For all of these problems: - Stalls are indicated by placing the

More information

TDT4255 Lecture 7: Hazards and exceptions

TDT4255 Lecture 7: Hazards and exceptions TDT4255 Lecture 7: Hazards and exceptions Donn Morrison Department of Computer Science 2 Outline Section 4.7: Data hazards: forwarding and stalling Section 4.8: Control hazards Section 4.9: Exceptions

More information

CS 230 Winter 2013 Tutorial 7 Monday, March 4, 2013

CS 230 Winter 2013 Tutorial 7 Monday, March 4, 2013 CS 230 Winter 2013 Tutorial 7 Monday, March 4, 2013 1. This question is based on one from the text book Computer Organization and Design (Patterson/Hennessy): Consider two different implementations of

More information

MEMORY SYSTEM. Mahdi Nazm Bojnordi. CS/ECE 3810: Computer Organization. Assistant Professor School of Computing University of Utah

MEMORY SYSTEM. Mahdi Nazm Bojnordi. CS/ECE 3810: Computer Organization. Assistant Professor School of Computing University of Utah MEMORY SYSTEM Mahdi Nazm Bojnordi Assistant Professor School of Computing University of Utah CS/ECE 3810: Computer Organization Overview Notes Homework 9 (deadline Apr. 9 th ) n Verify your submitted file

More information

How Computers Work Lecture 12

How Computers Work Lecture 12 How Computers Work Lecture 12 A Common Chore of College Life Introduction to Pipelining How Computers Work Lecture 12 Page 1 How Computers Work Lecture 12 Page 2 Page 1 1 Propagation Times Doing 1 Load

More information

Anne Bracy CS 3410 Computer Science Cornell University

Anne Bracy CS 3410 Computer Science Cornell University Anne Bracy CS 3410 Computer Science Cornell University These slides are the product of many rounds of teaching CS 3410 by Professors Weatherspoon, Bala, Bracy, and Sirer. Complex question How fast is the

More information

Characterizing Microprocessor Benchmarks. Towards Understanding the Workload Design Space

Characterizing Microprocessor Benchmarks. Towards Understanding the Workload Design Space Characterizing Microprocessor Benchmarks Towards Understanding the Workload Design Space by Michael Arunkumar, B.E. Report Presented to the Faculty of the Graduate School of the University of Texas at

More information

ATOP-DOWN APPROACH TO ARCHITECTING CPI COMPONENT PERFORMANCE COUNTERS

ATOP-DOWN APPROACH TO ARCHITECTING CPI COMPONENT PERFORMANCE COUNTERS ... ATOP-DOWN APPROACH TO ARCHITECTING CPI COMPONENT PERFORMANCE COUNTERS... SOFTWARE DEVELOPERS CAN GAIN INSIGHT INTO SOFTWARE-HARDWARE INTERACTIONS BY DECOMPOSING PROCESSOR PERFORMANCE INTO INDIVIDUAL

More information

performance counter architecture for computing CPI components

performance counter architecture for computing CPI components A Performance Counter Architecture for Computing Accurate CPI Components Stijn Eyerman Lieven Eeckhout ELIS, Ghent University, Belgium {seyerman,leeckhou}@elis.ugent.be Tejas Karkhanis James E. Smith ECE,

More information

Why know about performance

Why know about performance 1 Performance Today we ll discuss issues related to performance: Latency/Response Time/Execution Time vs. Throughput How do you make a reasonable performance comparison? The 3 components of CPU performance

More information

ECSE 425 Lecture 5: Quan2fying Computer Performance

ECSE 425 Lecture 5: Quan2fying Computer Performance ECSE 425 Lecture 5: Quan2fying Computer Performance H&P Chapter 1 Vu, Meyer; Textbook figures 2007 Elsevier Science Last Time Trends in Dependability Quan2ta2ve Principles of Computer Design 2 Today Quan2fying

More information

EXERCISES ON PERFORMANCE EVALUATION

EXERCISES ON PERFORMANCE EVALUATION EXERCISES ON PERFORMANCE EVALUATION Exercise 1 A program is executed for 1 sec, on a processor with a clock cycle of 50 nsec and Throughput 1 = 15 MIPS. 1. How much is the CPI 1, for the program? T CLOCK

More information

CS 134: Operating Systems

CS 134: Operating Systems CS 134: Operating Systems CS 134: Operating Systems 1 / 52 2 / 52 Process Switching Process Switching Process Switching Class Exercise When can/do we switch processes (or threads)? Class Exercise When

More information

Load Test Report. Moscow Exchange Trading & Clearing Systems. 07 October Contents. Testing objectives... 2 Main results... 2

Load Test Report. Moscow Exchange Trading & Clearing Systems. 07 October Contents. Testing objectives... 2 Main results... 2 Load Test Report Moscow Exchange Trading & Clearing Systems 07 October 2017 Contents Testing objectives... 2 Main results... 2 The Equity & Bond Market trading and clearing system... 2 The FX Market trading

More information

A Break-Even Formulation for Evaluating Branch Predictor Energy

A Break-Even Formulation for Evaluating Branch Predictor Energy A Break-Even Formulation for Evaluating Branch Predictor Energy Efficiency Michele Co, Dee A.B. Weikle, and Kevin Skadron Department of Computer Science University of Virginia Abstract Recent work has

More information

EC 413 Computer Organization

EC 413 Computer Organization EC 413 Computer Organzaton CPU Performance Evaluaton Prof. Mchel A. Knsy Performance Measurement Processor performance: Executon tme Area Logc complexty Power Tme = Instructons Cycles Tme Program Program

More information

BCN1043. By Dr. Mritha Ramalingam. Faculty of Computer Systems & Software Engineering

BCN1043. By Dr. Mritha Ramalingam. Faculty of Computer Systems & Software Engineering BCN1043 By Dr. Mritha Ramalingam Faculty of Computer Systems & Software Engineering mritha@ump.edu.my http://ocw.ump.edu.my/ authors Dr. Mohd Nizam Mohmad Kahar (mnizam@ump.edu.my) Jamaludin Sallim (jamal@ump.edu.my)

More information

Marketing and Sales Document. Technical Service Document. CPI Document

Marketing and Sales Document. Technical Service Document. CPI Document Huawei Documentation System Ways to Obtain Huawei Documentation Marketing and Sales Document Sales engineer Marketing Document Sales Document Technical Service Document Technical support engineer HLD LLD

More information

PART 6 EVENT TREE ANALYSIS

PART 6 EVENT TREE ANALYSIS PART 6 EVENT TREE ANALYSIS Prof. Arshad Ahmad Email: arshad@utm.my Overview of Event Tree Analysis 2 Event Tree Analysis An event tree is a visual representation of all the events which can occur in a

More information

Integer Programming. Review Paper (Fall 2001) Muthiah Prabhakar Ponnambalam (University of Texas Austin)

Integer Programming. Review Paper (Fall 2001) Muthiah Prabhakar Ponnambalam (University of Texas Austin) Integer Programming Review Paper (Fall 2001) Muthiah Prabhakar Ponnambalam (University of Texas Austin) Portfolio Construction Through Mixed Integer Programming at Grantham, Mayo, Van Otterloo and Company

More information

Alta5 Risk Disclosure Statement

Alta5 Risk Disclosure Statement Alta5 Risk Disclosure Statement Welcome to Alta5. Alta5 is both a platform for executing algorithmic trading algorithms and a place to learn about and share sophisticated investment strategies. Alta5 provides

More information

Applications of Dataflow Computing to Finance. Florian Widmann

Applications of Dataflow Computing to Finance. Florian Widmann Applications of Dataflow Computing to Finance Florian Widmann Overview 1. Requirement Shifts in the Financial World 2. Case 1: Real Time Margin 3. Case 2: FX Option Monitor 4. Conclusions Market Context

More information

Accelerating Financial Computation

Accelerating Financial Computation Accelerating Financial Computation Wayne Luk Department of Computing Imperial College London HPC Finance Conference and Training Event Computational Methods and Technologies for Finance 13 May 2013 1 Accelerated

More information

TEPZZ 858Z 5A_T EP A1 (19) (11) EP A1 (12) EUROPEAN PATENT APPLICATION. (43) Date of publication: Bulletin 2015/15

TEPZZ 858Z 5A_T EP A1 (19) (11) EP A1 (12) EUROPEAN PATENT APPLICATION. (43) Date of publication: Bulletin 2015/15 (19) TEPZZ 88Z A_T (11) EP 2 88 02 A1 (12) EUROPEAN PATENT APPLICATION (43) Date of publication: 08.04. Bulletin / (1) Int Cl.: G06Q /00 (12.01) (21) Application number: 13638.6 (22) Date of filing: 01..13

More information

COS 318: Operating Systems. CPU Scheduling. Jaswinder Pal Singh Computer Science Department Princeton University

COS 318: Operating Systems. CPU Scheduling. Jaswinder Pal Singh Computer Science Department Princeton University COS 318: Operating Systems CPU Scheduling Jaswinder Pal Singh Computer Science Department Princeton University (http://www.cs.princeton.edu/courses/cos318/) Today s Topics u CPU scheduling basics u CPU

More information

Ultimate Control. Maxeler RiskAnalytics

Ultimate Control. Maxeler RiskAnalytics Ultimate Control Maxeler RiskAnalytics Analytics Risk Financial markets are rapidly evolving. Data volume and velocity are growing exponentially. To keep ahead of the competition financial institutions

More information

Cost Slope Analysis 1

Cost Slope Analysis 1 Cost Slope Analysis 1 Running head: Cost Slope Analysis Cost Slope Analysis Technique Summary Su-Cheng Wu Cost Slope Analysis 2 Abstract: Cost Slope Analysis considers the following: direct, indirect cost,

More information

A New Redundancy Strategy for High-Availability Power Systems

A New Redundancy Strategy for High-Availability Power Systems Kevin Covi IBM STG High-End Server Development A New Redundancy Strategy for High-Availability Power Systems Outline RAS Philosophy Review Power 6 Traditional approach Power 7 Hybrid approach Load lines

More information

Physical Unclonable Functions (PUFs) and Secure Processors. Srini Devadas Department of EECS and CSAIL Massachusetts Institute of Technology

Physical Unclonable Functions (PUFs) and Secure Processors. Srini Devadas Department of EECS and CSAIL Massachusetts Institute of Technology Physical Unclonable Functions (PUFs) and Secure Processors Srini Devadas Department of EECS and CSAIL Massachusetts Institute of Technology 1 Security Challenges How to securely authenticate devices at

More information

Strategies for Controlling your Cost of Risk

Strategies for Controlling your Cost of Risk Strategies for Controlling your Cost of Risk 1 controlling cost of risk is a learning process 2 which direction will you go to control your cost of risk 3 understanding your industry is crucial to creating

More information

Compute Managed Services Schedule to the Products and Services Agreement

Compute Managed Services Schedule to the Products and Services Agreement Compute Managed Services Schedule to the Products and Services Agreement Contents Words defined in the General Terms and conditions... 2 Part A Compute Managed Services... 2 1 Service Summary... 2 2 Service

More information

Mark Redekopp, All rights reserved. EE 357 Unit 12. Performance Modeling

Mark Redekopp, All rights reserved. EE 357 Unit 12. Performance Modeling EE 357 Unit 12 Performance Modeling An Opening Question An Intel and a Sun/SPARC computer measure their respective rates of instruction execution on the same application written in C Mark Redekopp, All

More information

Compute Managed Services Schedule to the General Terms

Compute Managed Services Schedule to the General Terms Compute Managed Services Schedule to the General Terms Contents A note on you... 2 Words defined in the General Terms... 2 Part A Compute Managed Services... 2 1 Service Summary... 2 2 Service Components...

More information

Standard Development Timeline

Standard Development Timeline PRC 012 2 Remedial Action Schemes Standard Development Timeline This section is maintained by the drafting team during the development of the standard and will be removed when the standard becomes effective.

More information

Fin 48: The IRS Version

Fin 48: The IRS Version Institute of International Bankers Seminar on U.S. Taxation of International Banks Fin 48: The IRS Version Andrew D. Barkin, Head of Tax, The Bank of Tokyo-Mitsubishi UFJ, Ltd. William J. Wilkins, Chief

More information

SAMPLE. CPM SCHEDULE RISK MODELING AND ANALYSIS: SPECIAL CONSIDERATIONS TCM Framework: 7.6 Risk Management

SAMPLE. CPM SCHEDULE RISK MODELING AND ANALYSIS: SPECIAL CONSIDERATIONS TCM Framework: 7.6 Risk Management E 64R11 SA M PL CPMSCHEDULERI SKMODELI NG ANDANAL YSI S:SPECI AL CONSI DERATI ONS AACE International Recommended Practice No. 64R-11 CPM SCHEDULE RISK MODELING AND ANALYSIS: SPECIAL CONSIDERATIONS TCM

More information

1. Introduction. Proceedings of the 37th International Symposium on Microarchitecture (MICRO ) /04 $20.

1. Introduction. Proceedings of the 37th International Symposium on Microarchitecture (MICRO ) /04 $20. The Fuzzy Correlation between Code and Performance Predictability Murali Annavaram, Ryan Rakvic, Marzia Polito 1, Jean-Yves Bouguet 1, Richard Hankins, Bob Davies 1 Microarchitecture Research Lab (MRL),

More information

1.1 Capitalised words are either defined in the Standard Terms and Conditions or in this Agreement. Unless the context otherwise requires:

1.1 Capitalised words are either defined in the Standard Terms and Conditions or in this Agreement. Unless the context otherwise requires: Koha + configuration in the Catalyst Cloud: Service Level Agreement Catalyst.Net Limited (Catalyst) Version 1.0 (November 2016) Introduction A. You have chosen Catalyst to provide Koha as Your library

More information

R. H. C O O P E R & C O M P A N Y, L L C P. O. Box 462 Dublin, Ohio Telephone: Facsimile:

R. H. C O O P E R & C O M P A N Y, L L C P. O. Box 462 Dublin, Ohio Telephone: Facsimile: 1 2017 FALL CONFERENCE H I L T ON COLUMBUS P OLARIS Columbus, Ohio October 13, 2017 RISK MANAGEMENT ARE YOU MANAGING RISK? or ARE YOU LETTING IT MANAGE YOU? No matter what you do for a living, we all have

More information

a. Please describe the scope of safety concerns that the curtailment rules seek to address.

a. Please describe the scope of safety concerns that the curtailment rules seek to address. QUESTION 03-01: In Prepared Direct Testimony, on page 1:5-6, Witness Marelli highlights the importance of the curtailment rules to maintain system reliability and safety. a. Please describe the scope of

More information

Maintenance Policy. Error means any verifiable and reproducible failure of the Software to materially conform to the Documentation.

Maintenance Policy. Error means any verifiable and reproducible failure of the Software to materially conform to the Documentation. This Maintenance Policy ( Policy ) describes the current practices of Qlik with regard to its provision of Maintenance Services and Support Services as defined below (collectively Maintenance ) to customers

More information

BLACK SEA TRADE AND DEVELOPMENT BANK. Case Study: Enhancing the Performance of a major environmental Project in Bulgaria financed by BSTDB

BLACK SEA TRADE AND DEVELOPMENT BANK. Case Study: Enhancing the Performance of a major environmental Project in Bulgaria financed by BSTDB BLACK SEA TRADE AND DEVELOPMENT BANK Case Study: Enhancing the Performance of a major environmental Project in Bulgaria financed by BSTDB This case study was prepared by BSTDB Head of Post Evaluation Office

More information

Reconfigurable Acceleration for Monte Carlo based Financial Simulation

Reconfigurable Acceleration for Monte Carlo based Financial Simulation Reconfigurable Acceleration for Monte Carlo based Financial Simulation G.L. Zhang, P.H.W. Leong, C.H. Ho, K.H. Tsoi, C.C.C. Cheung*, D. Lee**, Ray C.C. Cheung*** and W. Luk*** The Chinese University of

More information

3.6V / 2600mAh Primary Lithium x 0.85 (60mm x 21mm) 1.0 oz (28 gr) -30 C to +77 C. Bluetooth Low Energy dBm. +5dBm. 1Mbit/s / 2Mbit/s*

3.6V / 2600mAh Primary Lithium x 0.85 (60mm x 21mm) 1.0 oz (28 gr) -30 C to +77 C. Bluetooth Low Energy dBm. +5dBm. 1Mbit/s / 2Mbit/s* SPECIFICATION SHEET BEEKs Industrial VER 1.6 HARDWARE SPECIFICATION Battery Size Weight Temperature Range Bluetooth Type Bluetooth Sensitivity Bluetooth Max Power Output Bluetooth Antenna Frequency Supported

More information

Towards an Ontology of Terms on Technical Debt

Towards an Ontology of Terms on Technical Debt Towards an Ontology of Terms on Technical Debt Nicolli S. R. Alves, Leilane F. Ribeiro, Vivyane Caires, Thiago S. Mendes, Rodrigo O. Spínola Federal University of Bahia Agenda Introduction Technical debt

More information

Lecture 3: Project Management, Part 2: Verification and Validation, Project Tracking, and Post Performance Analysis

Lecture 3: Project Management, Part 2: Verification and Validation, Project Tracking, and Post Performance Analysis Lecture 3: Project Management, Part 2: Verification and Validation, Project Tracking, and Post Performance Analysis Prof. Shervin Shirmohammadi SITE, University of Ottawa Prof. Shervin Shirmohammadi ELG

More information

Lecture 3: Project Management, Part 2: Verification and Validation, Project Tracking, and Post Performance Analysis

Lecture 3: Project Management, Part 2: Verification and Validation, Project Tracking, and Post Performance Analysis Lecture 3: Project Management, Part 2: Verification and Validation, Project Tracking, and Post Performance Analysis Prof. Shervin Shirmohammadi SITE, University of Ottawa Prof. Shervin Shirmohammadi ELG

More information

Efficient Reconfigurable Design for Pricing Asian Options

Efficient Reconfigurable Design for Pricing Asian Options Efficient Reconfigurable Design for Pricing Asian Options Anson H.T. Tse, David B. Thomas, K.H. Tsoi, Wayne Luk Department of Computing Imperial College London, UK {htt08,dt10,khtsoi,wl}@doc.ic.ac.uk ABSTRACT

More information

Barrier Option. 2 of 33 3/13/2014

Barrier Option. 2 of 33 3/13/2014 FPGA-based Reconfigurable Computing for Pricing Multi-Asset Barrier Options RAHUL SRIDHARAN, GEORGE COOKE, KENNETH HILL, HERMAN LAM, ALAN GEORGE, SAAHPC '12, PROCEEDINGS OF THE 2012 SYMPOSIUM ON APPLICATION

More information

Alternate Models for Forecasting Hedge Fund Returns

Alternate Models for Forecasting Hedge Fund Returns University of Rhode Island DigitalCommons@URI Senior Honors Projects Honors Program at the University of Rhode Island 2011 Alternate Models for Forecasting Hedge Fund Returns Michael A. Holden Michael

More information

Operational Risk Quantification System

Operational Risk Quantification System N O R T H E R N T R U S T Operational Risk Quantification System Northern Trust Corporation May 2012 Achieving High-Performing, Simulation-Based Operational Risk Measurement with R and RevoScaleR Presented

More information

Reconciliation Testing Aspects of Trading Systems Software Failures

Reconciliation Testing Aspects of Trading Systems Software Failures Reconciliation Testing Aspects of Trading Systems Software Failures Anna-Maria Kriger Kostroma State Technological University anna-maria.kriger@exactpro.com Alyona Pochukalina Obninsk Institute for Nuclear

More information

PMP Exam Preparation Course. Madras Management Training W.L.L All Rights Reserved

PMP Exam Preparation Course. Madras Management Training W.L.L All Rights Reserved Project Cost Management 1 Project Cost Management Processes 1. Estimate Costs 2. Determine Budget 3. Control Costs In some projects, especially with smaller scope, cost estimation and cost budgeting are

More information

Cushing 30 MLP Index INDEX METHODOLODGY GUIDE. June 18, 2014

Cushing 30 MLP Index INDEX METHODOLODGY GUIDE. June 18, 2014 Cushing 30 MLP Index INDEX METHODOLODGY GUIDE Version: 3.3 June 18, 2014 Cushing Asset Management, LP 8117 Preston Road Suite 440 Dallas, Texas 75225 www.swankcapital.com Table of Contents Section 1. Introduction......1

More information

Muskegon County Wastewater Management System Industrial Pretreatment Program. ENFORCEMENT RESPONSE PLAN (IPP Procedure )

Muskegon County Wastewater Management System Industrial Pretreatment Program. ENFORCEMENT RESPONSE PLAN (IPP Procedure ) Muskegon County Wastewater Management System Industrial Pretreatment Program ENFORCEMENT PLAN (IPP Procedure 93-1.2) I. GENERAL An Enforcement Response Plan (ERP) has been developed which specifies the

More information

Regulatory Statements

Regulatory Statements Vision2020 Regulatory Statements Certain statements in this presentation may constitute forward-looking statements within the meaning of federal securities laws. These statements are subject to a variety

More information

FPGA ACCELERATION OF MONTE-CARLO BASED CREDIT DERIVATIVE PRICING

FPGA ACCELERATION OF MONTE-CARLO BASED CREDIT DERIVATIVE PRICING FPGA ACCELERATION OF MONTE-CARLO BASED CREDIT DERIVATIVE PRICING Alexander Kaganov, Paul Chow Department of Electrical and Computer Engineering University of Toronto Toronto, ON, Canada M5S 3G4 email:

More information

Fig. 1. Min-Max Timing Simulation 1, 3 1, 2 1, 2 1, , 3 3, 4

Fig. 1. Min-Max Timing Simulation 1, 3 1, 2 1, 2 1, , 3 3, 4 2009 27th IEEE VLSI Test Symposium Output Hazard-Free Transition Delay Fault Test Generation Sreekumar Menon 1, Adit D. Singh 2, Vishwani Agrawal 2 1 Advanced Micro Devices 7171 Southwest Parkway Austin,

More information

Order Execution Policy

Order Execution Policy Order Execution Policy Order Execution Policy September 2018 Introduction AUSPRIME is the tradename of Lttrader Limited (hereinafter the Company, We, Our, Us ), which is registered with the Register of

More information

Real-Time Market Data Technology Overview

Real-Time Market Data Technology Overview Real-Time Market Data Technology Overview Zoltan Radvanyi Morgan Stanley Session Outline What is market data? Basic terms used in market data world Market data processing systems Real time requirements

More information

Campus Administrative Policy

Campus Administrative Policy Campus Administrative Policy Policy Title: Credit Card Acceptance Policy Number: 2019 Functional Area: Finance Effective: February 1, 2011 Date Last Amended/Reviewed: February 1, 2011 Date Scheduled for

More information

Copyright 2011, The NASDAQ OMX Group, Inc. All rights reserved. LORNE CHAMBERS GLOBAL HEAD OF SALES, SMARTS INTEGRITY

Copyright 2011, The NASDAQ OMX Group, Inc. All rights reserved. LORNE CHAMBERS GLOBAL HEAD OF SALES, SMARTS INTEGRITY Copyright 2011, The NASDAQ OMX Group, Inc. All rights reserved. LORNE CHAMBERS GLOBAL HEAD OF SALES, SMARTS INTEGRITY PRACTICAL IMPACTS ON SURVEILLANCE: HIGH FREQUENCY TRADING, MARKET FRAGMENTATION, DIRECT

More information

The DRAM Latency PUF:

The DRAM Latency PUF: The DRAM Latency PUF: Quickly Evaluating Physical Unclonable Functions by Exploiting the Latency-Reliability Tradeoff in Modern Commodity DRAM Devices Jeremie S. Kim Minesh Patel Hasan Hassan Onur Mutlu

More information

Reliable and Energy-Efficient Resource Provisioning and Allocation in Cloud Computing

Reliable and Energy-Efficient Resource Provisioning and Allocation in Cloud Computing Reliable and Energy-Efficient Resource Provisioning and Allocation in Cloud Computing Yogesh Sharma, Bahman Javadi, Weisheng Si School of Computing, Engineering and Mathematics Western Sydney University,

More information

Index. Managing Risks in Commercial and Retail Banking By Amalendu Ghosh Copyright 2012 John Wiley & Sons Singapore Pte. Ltd.

Index. Managing Risks in Commercial and Retail Banking By Amalendu Ghosh Copyright 2012 John Wiley & Sons Singapore Pte. Ltd. Index A absence of control criteria, as cause of operational risk, 395 accountability, 493 495 additional exposure, incremental loss from, 115 advances and loans, ratio of core deposits to, 308 309 advances,

More information

Texas Administrative Code

Texas Administrative Code TITLE 34 PART 1 CHAPTER 3 SUBCHAPTER O RULE 3.297 Texas Administrative Code PUBLIC FINANCE COMPTROLLER OF PUBLIC ACCOUNTS TAX ADMINISTRATION STATE SALES AND USE TAX Carriers (a) Carriers generally. (1)

More information

COS 318: Operating Systems. CPU Scheduling. Today s Topics. CPU Scheduler. Preemptive and Non-Preemptive Scheduling

COS 318: Operating Systems. CPU Scheduling. Today s Topics. CPU Scheduler. Preemptive and Non-Preemptive Scheduling Today s Topics COS 318: Operating Systems u CPU scheduling basics u CPU scheduling algorithms CPU Scheduling Jaswinder Pal Singh Computer Science Department Princeton University (http://www.cs.princeton.edu/courses/cos318/)

More information

I. General provisions. Article 1

I. General provisions. Article 1 CONSSOLIIDATED TEXT ARTIICLESS OF ASSSSOCIIATIION FFAMUR SSppóół łkkaa Akkccyyj jnnaa I. General provisions Article 1 1. The Company operates under the name of FAMUR Spółka Akcyjna. 2. The Company may

More information

INFOSYS SOLUTION FOR CLAIMS LEAKAGE REDUCTION

INFOSYS SOLUTION FOR CLAIMS LEAKAGE REDUCTION INFOSYS SOLUTION FOR CLAIMS LEAKAGE REDUCTION Claims management is the foundation on which the edifice of the insurance business is built. Although a large contributor of cost to an organization, it is

More information

Lecture 8: Skew Tolerant Design (including Dynamic Circuit Issues)

Lecture 8: Skew Tolerant Design (including Dynamic Circuit Issues) Lecture 8: Skew Tolerant Design (including Dynamic Circuit Issues) Computer Systems Laboratory Stanford University horowitz@stanford.edu Copyright 2007 by Mark Horowitz w/ material from David Harris 1

More information

CONTENTS. Page. 1. Introduction Theft Statistics

CONTENTS. Page. 1. Introduction Theft Statistics National Equipment Register 2003 Equipment Theft Report January 2004 CONTENTS Page 1. Introduction 3 2. Theft Statistics a. Theft Location by State 4 b. Type of Theft Location 5 c. Theft by Type of Equipment

More information

Calculational Design of Information Flow Monitors

Calculational Design of Information Flow Monitors Calculational Design of Information Flow Monitors Mounir Assaf David Naumann Stevens Institute of Technology, Hoboken, NJ November 9th, 2016 SoSySec Seminar, Rennes Mounir Assaf Calculational Design of

More information

FINANCE AND BUSINESS ECONOMICS AREAS OF INTEREST

FINANCE AND BUSINESS ECONOMICS AREAS OF INTEREST FINANCE AND BUSINESS ECONOMICS AREAS OF INTEREST The Finance and Economics faculty seek to examine the decision-making process and the role of markets in the allocation of both real and financial resources.

More information

Lecture 8: Skew Tolerant Domino Clocking

Lecture 8: Skew Tolerant Domino Clocking Lecture 8: Skew Tolerant Domino Clocking Computer Systems Laboratory Stanford University horowitz@stanford.edu Copyright 2001 by Mark Horowitz (Original Slides from David Harris) 1 Introduction Domino

More information

13 Combinational Logic Devices

13 Combinational Logic Devices Prof. r. J. Reichardt Prof. r.. Schwarz F Elektrotechnik/Informatik ombinational ogic evices Purpose of combinational logic design: uilding larger, more sophisticated logic circuits by using adaptable

More information

Collateralized Debt Obligation Pricing on the Cell/B.E. -- A preliminary Result

Collateralized Debt Obligation Pricing on the Cell/B.E. -- A preliminary Result Collateralized Debt Obligation Pricing on the Cell/B.E. -- A preliminary Result Lurng-Kuo Liu Virat Agarwal Outline Objectivee Collateralized Debt Obligation Basics CDO on the Cell/B.E. A preliminary result

More information

NATIONAL PAYMENT AND SETTLEMENT SYSTEMS DIVISION

NATIONAL PAYMENT AND SETTLEMENT SYSTEMS DIVISION NATIONAL PAYMENT AND SETTLEMENT SYSTEMS DIVISION MINIMUM STANDARDS FOR ELECTRONIC PAYMENT SCHEMES ADOPTED SEPTEMBER 2010 Central Bank of Swaziland Minimum standards for electronic payment schemes Page

More information

Formal-based Coverage-Driven Verification. Sia Karthik Madabhushi May 15, 2014

Formal-based Coverage-Driven Verification. Sia Karthik Madabhushi May 15, 2014 Formal-based Coverage-Driven Verification Sia Karthik Madabhushi May 15, 2014 Preface In the future formal apps & methodologies will be the default for verification Consequently, it s critical for formal

More information

A History of. Property Taxes in

A History of. Property Taxes in A History of Property Taxes in Austin and Travis County Presented by: 4210 SPICEWOOD SPRINGS ROAD, SUITE 211 AUSTIN, TEXAS 78759 512.302.5800 Ad Valorem Property Taxes Executive Summary The consistent

More information

TransCanada s Risk Management System for Pipeline Integrity Management

TransCanada s Risk Management System for Pipeline Integrity Management TransCanada s Risk Management System for Pipeline Integrity Management Warren Peterson Louis Fenyvesi CORS March 19, 2009 Pipeline Risk & Integrity Management Enabler The PRIME project was started in 1998

More information

SPEED UP OF NUMERIC CALCULATIONS USING A GRAPHICS PROCESSING UNIT (GPU)

SPEED UP OF NUMERIC CALCULATIONS USING A GRAPHICS PROCESSING UNIT (GPU) SPEED UP OF NUMERIC CALCULATIONS USING A GRAPHICS PROCESSING UNIT (GPU) NIKOLA VASILEV, DR. ANATOLIY ANTONOV Eurorisk Systems Ltd. 31, General Kiselov str. BG-9002 Varna, Bulgaria Phone +359 52 612 367

More information

Efficient Reconfigurable Design for Pricing Asian Options

Efficient Reconfigurable Design for Pricing Asian Options Efficient Reconfigurable Design for Pricing Asian Options Anson H.T. Tse, David B. Thomas, K.H. Tsoi, Wayne Luk Department of Computing Imperial College London, UK (htt08,dtl O,khtsoi,wl)@doc.ic.ac.uk

More information

HSB Micro Renewable Insurance

HSB Micro Renewable Insurance HSB Micro Renewable Insurance Proposal form Operational all risks cover for anaerobic digestion and biogas installations Please answer all of the following questions carefully, providing any additional

More information

Latest Trends in Environmental Liability B. DARRELL CHILD INTERMOUNTAIN AWWA EXECUTIVE VICE PRESIDENT SEPTEMBER 15, 2016

Latest Trends in Environmental Liability B. DARRELL CHILD INTERMOUNTAIN AWWA EXECUTIVE VICE PRESIDENT SEPTEMBER 15, 2016 Latest Trends in Environmental Liability B. DARRELL CHILD INTERMOUNTAIN AWWA EXECUTIVE VICE PRESIDENT SEPTEMBER 15, 2016 Environmental Risk What Organizations Face Environmental Risk? Environmental Risk

More information

Order Execution Policy

Order Execution Policy Vs 4.0 January 2018 TopFX Ltd, authorised and regulated by CySEC (license no. 138/11). Registered. 1. Introduction 1.1 TopFX LTD (hereinafter called the Company ), whose headquarters are at 28 Oktovriou

More information

SFC disciplines and fines CIC Investor Services $4 million over handling of professional investors and documentation of advice

SFC disciplines and fines CIC Investor Services $4 million over handling of professional investors and documentation of advice SFC disciplines and fines CIC Investor Services $4 million over handling of professional investors and documentation of advice Securities & Futures Commission of Hong Kong Home News & announcements News

More information

Aggregation of an FX order book based on complex event processing

Aggregation of an FX order book based on complex event processing Aggregation of an FX order book based on complex event processing AUTHORS ARTICLE INFO JOURNAL Barret Shao Greg Frank Barret Shao and Greg Frank (2012). Aggregation of an FX order book based on complex

More information

NATIONAL EQUIPMENT REGISTER Equipment Theft Report

NATIONAL EQUIPMENT REGISTER Equipment Theft Report NATIONAL EQUIPMENT REGISTER 2004 Equipment Theft Report January 2005 CONTENTS Page 1. Introduction 3 2. Theft Statistics a. Theft Location by State 4 b. Thefts by Location Type 5 c. Thefts by Type of Equipment

More information

Service Schedule ADSL & FTTC December 2016

Service Schedule ADSL & FTTC December 2016 Service Schedule ADSL & FTTC December 2016 1 DEFINITIONS AND INTERPRETATIONS 1.1 Words or phrases used with capital letters in this Service Schedule shall have the same meanings given in the Master Services

More information

MTPredictor Trade Module for NinjaTrader 7 Getting Started Guide

MTPredictor Trade Module for NinjaTrader 7 Getting Started Guide MTPredictor Trade Module for NinjaTrader 7 Getting Started Guide Introduction The MTPredictor Trade Module for NinjaTrader 7 is a new extension to the MTPredictor Add-on s for NinjaTrader 7 designed to

More information

Solutions - Final Exam (December 7:30 am) Clarity is very important! Show your procedure!

Solutions - Final Exam (December 7:30 am) Clarity is very important! Show your procedure! DPARTMNT OF LCTRICAL AND COMPUTR NGINRING, TH UNIVRSITY OF NW MXICO C-238L: Computer Logic Deign Fall 23 Solution - Final am (December th @ 7:3 am) Clarity i very important! Show your procedure! PROBLM

More information

Bill Boroski LQCD Contractor Project Manager Robert D. Kennedy Associate Contractor Project Manager

Bill Boroski LQCD Contractor Project Manager Robert D. Kennedy Associate Contractor Project Manager Bill Boroski LQCD Contractor Project Manager Robert D. Kennedy Associate Contractor Project Manager DOE FY2015 Annual Progress Review Brookhaven National Laboratory May 21-22, 2015 Project scope, organization,

More information

Dynamic Resource Allocation for Spot Markets in Cloud Computi

Dynamic Resource Allocation for Spot Markets in Cloud Computi Dynamic Resource Allocation for Spot Markets in Cloud Computing Environments Qi Zhang 1, Quanyan Zhu 2, Raouf Boutaba 1,3 1 David. R. Cheriton School of Computer Science University of Waterloo 2 Department

More information

Waste Disposal Services Caglia Environmental, LLC. dba Red Rock Environmental November 1 st, 2012 through June 30 th 2017

Waste Disposal Services Caglia Environmental, LLC. dba Red Rock Environmental November 1 st, 2012 through June 30 th 2017 County of Madera Auditor-Controller INTERNAL AUDITS Waste Disposal Services Caglia Environmental, LLC. dba Red Rock Environmental November 1 st, 2012 through June 30 th 2017 INTERNAL AUDIT REPORT Promoting

More information

Practical Considerations for Building a D&O Pricing Model. Presented at Advisen s 2015 Executive Risk Insights Conference

Practical Considerations for Building a D&O Pricing Model. Presented at Advisen s 2015 Executive Risk Insights Conference Practical Considerations for Building a D&O Pricing Model Presented at Advisen s 2015 Executive Risk Insights Conference Purpose The intent of this paper is to provide some practical considerations when

More information

Decision modeling in. Petros Pechlivanoglou, PhD. Canadian Centre for Health Economics, University Toronto ON October 13, 2017

Decision modeling in. Petros Pechlivanoglou, PhD. Canadian Centre for Health Economics, University Toronto ON October 13, 2017 Decision modeling in Petros Pechlivanoglou, PhD Canadian Centre for Health Economics, University Toronto ON October 13, 2017 R Passes SAS in scholarly use http://r4stats.com/2016/06/08/r-passes-sas-in-scholarly-u

More information

Government & Economics, CP

Government & Economics, CP East Penn School District Secondary Curriculum A Planned Course Statement for Government & Economics, CP Course # 232 Grade(s) 12 Department: Social Studies Length of Period (mins.) 41 Total Clock Hours:

More information

Facts You Should Know About Your Water Service

Facts You Should Know About Your Water Service Facts You Should Know About Your Water Service The York Water Company That good York water. We re proud of our product. Of all the products available today, ours is one of the purest, safest, and most

More information

APPROACHES FOR HOSPITALS CONFRONTING STARK AND ANTI-KICKBACK ISSUES

APPROACHES FOR HOSPITALS CONFRONTING STARK AND ANTI-KICKBACK ISSUES APPROACHES FOR HOSPITALS CONFRONTING STARK AND ANTI-KICKBACK ISSUES CORRECTIVE ACTION, PHYSICIAN NEGOTIATION, AND VOLUNTARY DISCLOSURE; CASE STUDIES Dennis Diaz Partner Davis Wright Tremaine LLP Jim Watson

More information

GAMP 5 Quality Risk Management. Sion Wyn Conformity +[44] (0)

GAMP 5 Quality Risk Management. Sion Wyn Conformity +[44] (0) GAMP 5 Quality Risk Management Sion Wyn Conformity +[44] (0) 1492 642622 sion.wyn@conform-it.com 1 GAMP5 Key Concepts Life Cycle Approach Within a QMS Scaleable Life Cycle Activities Process and Product

More information