The DRAM Latency PUF:

Size: px
Start display at page:

Download "The DRAM Latency PUF:"

Transcription

1 The DRAM Latency PUF: Quickly Evaluating Physical Unclonable Functions by Exploiting the Latency-Reliability Tradeoff in Modern Commodity DRAM Devices Jeremie S. Kim Minesh Patel Hasan Hassan Onur Mutlu

2 Executive Summary Motivation: We can authenticate a system via unique signatures if we can evaluate a Physical Unclonable Function (PUF) on it Signatures (PUF response) reflect inherent properties of a device DRAM is a promising substrate for PUFs because it is widely used Problem: Current DRAM PUFs are 1) very slow, 2) require a DRAM reboot, or 3) require additional custom hardware Goal: To develop a novel and effective PUF for existing commodity DRAM devices with low-latency evaluation time and low system interference across all operating temperatures DRAM Latency PUF: Reduce DRAM access latency below reliable values and exploit the resulting error patterns as unique identifiers Evaluation: 1. Experimentally characterize 223 real LPDDR4 DRAM devices 2. DRAM latency PUF (88.2 ms) achieves a speedup of 102x/860x at 70 C/55 C over prior DRAM PUF evaluation mechanisms 2/45

3 The DRAM Latency PUF Outline Motivation Effective PUF Characteristics DRAM Latency PUF DRAM Operation Key Idea Prior Best DRAM PUF: DRAM Retention PUF DRAM Cell Retention Key Idea Weaknesses Methodology Results Summary 3/45

4 The DRAM Latency PUF Outline Motivation Effective PUF Characteristics DRAM Latency PUF DRAM Operation Key Idea Prior Best DRAM PUF: DRAM Retention PUF DRAM Cell Retention Key Idea Weaknesses Methodology Results Summary 4/45

5 Motivation We want a way to ensure that a system s components are not compromised Physical Unclonable Function (PUF): a function we evaluate on a device to generate a signature unique to the device We refer to the unique signature as a PUF response Often used in a Challenge-Response Protocol (CRP) Trusted Device Checking PUF response... Input: Challenge X Output: PUF Response X Authenticated Device Evaluating PUF... 5/45

6 Motivation 1. We want a runtime-accessible PUF - Should be evaluated quickly with minimal impact on concurrent applications - Can protect against attacks that swap system components with malicious parts 2. DRAM is a promising substrate for evaluating PUFs because it is ubiquitous in modern systems - Unfortunately, current DRAM PUFs are slow and get exponentially slower at lower temperatures 6/45

7 The DRAM Latency PUF Outline Motivation Effective PUF Characteristics DRAM Latency PUF DRAM Operation Key Idea Prior Best DRAM PUF: DRAM Retention PUF DRAM Cell Retention Key Idea Weaknesses Methodology Results Summary 7/45

8 Effective PUF Characteristics 1. Repeatability Trusted Device DRAM Device PUF Response 1 PUF 0 Response N PUF Response 0 == PUF Response 0 8/45

9 Effective PUF Characteristics 1. Repeatability 2. Diffuseness Trusted Device Challenge 1... PUF Response 0 DRAM Device 0 PUF Response 0 PUF Response 1 PUF Response N 9/45

10 Effective PUF Characteristics 1. Repeatability 2. Diffuseness 3. Uniform Randomness Cannot use multiple challenge-response pairs to guess another Trusted Device Challenge 1... PUF Response PUF 0 Response PUF 0 Response 1 PUF 0 Response N DRAM Device PUF Response 0 PUF Response 1 PUF Response N 10/45

11 Effective PUF Characteristics 1. Repeatability 2. Diffuseness 3. Uniform Randomness 4. Uniqueness DRAM Device 1 PUF Response Z Trusted Device Challenge Z DRAM Device 0 PUF Response Z All PUF responses of different devices are significantly different DRAM Device 2 PUF Response Z 11/45

12 Effective PUF Characteristics 1. Repeatability 2. Diffuseness 3. Uniform Randomness 4. Uniqueness 5. Unclonability Trusted Device PUF Response 0 PUF Response 1 PUF 0 Response N DRAM Device 0 DRAM Device 12/45

13 Effective PUF Characteristics 1. Repeatability 2. Diffuseness 3. Uniform Randomness 4. Uniqueness 5. Unclonability Trusted Device More analysis PUF Response 0 PUF Response 1 PUF 0 Response N DRAM Device of the effective PUF characteristics in the paper 13/45

14 Effective PUF Characteristics Runtime-accessible PUFs must have 1. Low Latency - Each device can quickly generate a PUF response 2. Low System Interference - PUF evaluation minimally affects performance of concurrently-running applications 14/45

15 The DRAM Latency PUF Outline Motivation Effective PUF Characteristics DRAM Latency PUF DRAM Operation Key Idea Prior Best DRAM PUF: DRAM Retention PUF DRAM Cell Retention Key Idea Weaknesses Methodology Results Summary 15/45

16 DRAM Accesses and Failures Bitline Voltage V dd V min wordline access transistor capacitor Ready to Access Voltage Level bitline Sense Amplifier Bitline Charge Sharing Guardband Process variation during manufacturing results in cells having unique behavior Strong Weak 0.5 V dd Time ACTIVATE SA Enable READ t RCD 16/45

17 DRAM Accesses and Failures Bitline Voltage V dd V min Ready to Access Voltage Level wordline capacitor access transistor SA bitline Weaker cells have a higher probability to fail Strong Weak 0.5 V dd ACTIVATE SA Enable Time READ t RCD 17/45

18 The DRAM Latency PUF Outline Motivation Effective PUF Characteristics DRAM Latency PUF DRAM Operation Key Idea Prior Best DRAM PUF: DRAM Retention PUF DRAM Cell Retention Key Idea Weaknesses Methodology Results Summary 18/45

19 DRAM Latency PUF Key Idea A cell s latency failure probability is inherently related to random process variation from manufacturing We can provide repeatable and unique device signatures using latency error patterns High % chance to fail with reduced t RCD Low % chance to fail with reduced t RCD Row Decoder SA SA SA SA SA SA SA 19/45

20 DRAM Latency PUF Key Idea A cell s latency failure probability is inherently related to random process variation from manufacturing We can provide repeatable and unique device signatures using latency error patterns High % chance to fail with reduced t RCD Row Decoder Low % chance to fail with reduced t RCD The key idea is to compose a PUF response using the DRAM cells that fail with high probability SA SA SA SA SA SA SA 20/45

21 Evaluating a DRAM Latency PUF Determine whether a single cell s location should be included in a DRAM latency PUF response - Include if the cell fails with a probability greater than a chosen threshold when accessed with a reduced t RCD Chosen Threshold: 50% 1 SA This Cell s Failure Rate: 60% Failure rate is greater than the chosen threshold, so the cell s location should be included /45

22 Evaluating a DRAM Latency PUF We induce latency failures 100 times and use a threshold of 10% (i.e., use cells that fail > 10 times) We do this for every cell in a continuous 8KiB memory region, that we refer to as a PUF memory segment Example 21-bit PUF memory segment Row Decoder SA SA SA SA SA SA SA 22/45

23 Evaluating a DRAM Latency PUF We induce latency failures 100 times and use a threshold of 10% (i.e., use cells that fail > 10 times) We do this for every cell in a continuous 8KiB memory region, that we refer to as a PUF memory segment PUF Response /45

24 Evaluating a DRAM Latency PUF We induce latency failures 100 times and use a threshold of 10% (i.e., use cells that fail > 10 times) We do this for every cell in a continuous 8KiB memory region, that we refer to as a PUF memory segment We can evaluate PUF Response the DRAM latency PUF in only 88.2ms on average regardless of temperature! /45

25 The DRAM Latency PUF Outline Motivation Effective PUF Characteristics DRAM Latency PUF DRAM Operation Key Idea Prior Best DRAM PUF: DRAM Retention PUF DRAM Cell Retention Key Idea Weaknesses Methodology Results Summary 25/45

26 DRAM Cell Leakage DRAM encodes information in leaky capacitors wordline access transistor capacitor charge leakage paths bitline Stored data is corrupted if too much charge leaks (i.e., the capacitor voltage degrades too much) [Patel et al., REAPER, ISCA 17] 26/45

27 DRAM Cell Retention Capacitor voltage (Vdd) 100% Vmin 0% Retention time time Retention failure when leakage corrupts stored data Retention time how long a cell holds its value [Patel et al., REAPER, ISCA 17] 27/45

28 Each Cell has a Different Retention Time wordline capacitor Row Decoder access transistor bitline Row Buffer 8GB DRAM = 6.4e10 cells [Patel et al., REAPER, ISCA 17] 28/45

29 The DRAM Latency PUF Outline Motivation Effective PUF Characteristics DRAM Latency PUF DRAM Operation Key Idea Prior Best DRAM PUF: DRAM Retention PUF DRAM Cell Retention Key Idea Weaknesses Methodology Results Summary 29/45

30 Evaluating a DRAM Retention PUF Generate a PUF response with locations of cells in a PUF memory segment that fail with a refresh interval N Row Decoder SA SA SA SA SA SA SA SA SA SA Can handle a longer refresh interval Fails with refresh interval N The pattern of retention failures across a segment of DRAM is unique to the device 30/45

31 Evaluating a DRAM Retention PUF Generate a PUF response with locations of cells in a PUF memory segment that fail with a refresh interval N Row Decoder We use the best methods SA SA SA SA SA from prior work SA SA SA SA SA Can handle a longer refresh interval Fails with refresh interval X and optimize the retention PUF for our devices The pattern of retention failures across a segment of DRAM is unique to the device 31/45

32 The DRAM Latency PUF Outline Motivation Effective PUF Characteristics DRAM Latency PUF DRAM Operation Key Idea Prior Best DRAM PUF: DRAM Retention PUF DRAM Cell Retention Key Idea Weaknesses Methodology Results Summary 32/45

33 DRAM Retention PUF Weaknesses DRAM Retention PUF evaluation time is very long and leads to high system interference Long evaluation time: 1. Most DRAM cells are strong à need to wait for long time to drain charge from capacitors 2. Especially at low temperatures High system interference: 1. DRAM refresh can only be disabled at a channel granularity (512MB 2GB) 2. Must issue manual refreshes to maintain data correctness in the rest of the channel during entire evaluation time 3. Manually refreshing DRAM consumes significant bandwidth on the DRAM bus 33/45

34 DRAM Retention PUF Weaknesses Long evaluation time could be ameliorated in 2 ways: 1. Increase temperature higher rate of charge leakage à Observe failures faster Unfortunately: 1. Difficult to control DRAM temperature in the field 2. Operating at high temperatures is undesirable 2. Increase PUF memory segment size more cells with low retention time in PUF memory segment à Observe more failures faster Unfortunately: Large PUF memory segment à high DRAM capacity overhead 34/45

35 The DRAM Latency PUF Outline Motivation Effective PUF Characteristics DRAM Latency PUF DRAM Operation Key Idea Prior Best DRAM PUF: DRAM Retention PUF DRAM Cell Retention Key Idea Weaknesses Methodology Results Summary 35/45

36 Methodology 223 2y-nm LPDDR4 DRAM devices - 2GB device size - From 3 major DRAM manufacturers Thermally controlled testing chamber - Ambient temperature range: {40 C 55 C} ± 0.25 C - DRAM temperature is held at 15 C above ambient Precise control over DRAM commands and timing parameters - Test retention time effects by disabling refresh - Test reduced latency effects by reducing t RCD parameter 36/45

37 The DRAM Latency PUF Outline Motivation Effective PUF Characteristics DRAM Latency PUF DRAM Operation Key Idea Prior Best DRAM PUF: DRAM Retention PUF DRAM Cell Retention Key Idea Weaknesses Methodology Results Summary 37/45

38 Results PUF Evaluation Latency Evaluation Evaluation Time Time (s) (s) KiB memory segment DRAM Retention PUF DRAM Retention PUF Manufacturer Manufacturer A Manufacturer Manufacturer B Manufacturer Manufacturer C DRAM Latency PUF DRAM Latency PUF All Manufacturers All Manufacturers 33,806.6x 318.3x 8KiB memory segment o Temperature ( C) C) DRAM latency PUF is 1. Fast and constant latency (88.2ms) 38/45

39 Results PUF Evaluation Latency Evaluation Evaluation Time Time (s) (s) KiB memory segment 64KiB memory segment DRAM Retention PUF DRAM Retention PUF Manufacturer Manufacturer A Manufacturer Manufacturer B Manufacturer Manufacturer C DRAM Latency PUF DRAM Latency PUF All Manufacturers All Manufacturers 869.8x 108.9x o Temperature ( C) C) DRAM latency PUF is 8KiB memory segment 1. Fast and constant latency (88.2ms) 39/45

40 Results PUF Evaluation Latency Evaluation Evaluation Time Time (s) (s) KiB memory segment 64KiB memory segment 64MiB memory segment 17.3x DRAM Retention PUF DRAM Retention PUF Manufacturer Manufacturer A Manufacturer Manufacturer B Manufacturer Manufacturer C DRAM Latency PUF DRAM Latency PUF All Manufacturers All Manufacturers o Temperature ( C) C) DRAM latency PUF is 8KiB memory segment 1. Fast and constant latency (88.2ms) 11.5x 40/45

41 Results PUF Evaluation Latency Evaluation Evaluation Time Time (s) (s) KiB memory segment 64MiB memory segment 8KiB memory segment DRAM Retention PUF DRAM Retention PUF Manufacturer Manufacturer A Manufacturer Manufacturer B Manufacturer Manufacturer C DRAM Latency PUF DRAM Latency PUF All Manufacturers All Manufacturers o Temperature ( C) C) DRAM latency PUF is 8KiB memory segment 1. Fast and constant latency (88.2ms) 2. On average, 102x/860x faster than the previous DRAM PUF with the same DRAM capacity overhead (64KiB) 41/45

42 Results System Interference During PUF evaluation on commodity devices: The DRAM Retention PUF - Disables refresh at channel granularity (~512MB 2GB) Issue manual refresh operations to rows in channel but not in PUF memory segment to prevent data corruption - Has long evaluation time at low temperatures The DRAM Latency PUF - Does not require disabling refresh - Has short evaluation time at any operating temperature 42/45

43 Other Results in the Paper How the DRAM latency PUF meets the basic requirements for an effective PUF A detailed analysis on: - Devices of the three major DRAM manufacturers - The evaluation time of a PUF Further discussion on: - Optimizing retention PUFs - System interference of DRAM retention and latency PUFs - Algorithm to quickly and reliably evaluate DRAM latency PUF - Design considerations for a DRAM latency PUF - The DRAM Latency PUF overhead analysis 43/45

44 The DRAM Latency PUF Outline Motivation Effective PUF Characteristics DRAM Latency PUF DRAM Operation Key Idea Prior Best DRAM PUF: DRAM Retention PUF DRAM Cell Retention Key Idea Weaknesses Methodology Results Summary 44/45

45 Executive Summary Motivation: We can authenticate a system via unique signatures if we can evaluate a Physical Unclonable Function (PUF) on it Signatures (PUF response) reflect inherent properties of a device DRAM is a promising substrate for PUFs because it is widely used Problem: Current DRAM PUFs are 1) very slow, 2) require a DRAM reboot, or 3) require additional custom hardware Goal: To develop a novel and effective PUF for existing commodity DRAM devices with low-latency evaluation time and low system interference across all operating temperatures DRAM Latency PUF: Reduce DRAM access latency below reliable values and exploit the resulting error patterns as unique identifiers Evaluation: 1. Experimentally characterize 223 real LPDDR4 DRAM devices 2. DRAM latency PUF (88.2 ms) achieves a speedup of 102x/860x at 70 C/55 C over prior DRAM PUF evaluation mechanisms 45/45

46 The DRAM Latency PUF: Quickly Evaluating Physical Unclonable Functions by Exploiting the Latency-Reliability Tradeoff in Modern Commodity DRAM Devices Jeremie S. Kim Minesh Patel Hasan Hassan Onur Mutlu

47 47/45

48 DRAM Architecture Background Row Decoder Wordline Access Transistor Capacitor Bitline Sense Amplifiers Row Decoder Cell Rows Sense Amplifiers DRAM Cell Array 0 DRAM Cell Array N Columns Chip 0 Chip N Bank 0 Rank 0 Bank N Rank N I/O BUS DRAM Channel 0 I/O BUS Memory Controller 0 CPU DRAM Channel N I/O BUS Memory Controller N (a) DRAM Cell Array (b) DRAM Bank (c) DRAM Channel Figure 1: DRAM organization. (d) DRAM-Based System 48/45

49 Evaluating DRAM Retention PUFs Algorithm 1: Evaluate Retention PUF [103, 120, 121, 124, 135] 1 evaluate_dram_retention_puf(seg_id, wait_time): 2 rank_id Ω DRAM rank containing seg_id 3 disable refresh for Rank[rank_id] 4 start_time Ω current_time() 5 while current_time() - start_time < wait_time: 6 foreach row in Rank[rank_id]: 7 if row not in Segment[seg_id]: 8 issue refresh to row // refresh all other rows 9 enable refresh for Rank[rank_id] 10 return data at Segment[seg_id] ation time for the same PUF memory segment increases by 49/45

50 #Chips #Tested Memory Segments A 91 17,408 B 65 12,544 C 67 10,580 Table 1: The number of tested PUF memory segments across the tested chips from each of the three manufacturers. For each memory segment, we evaluate the PUF 50 times 50/45

51 Figure 3: Distributions of Jaccard indices calculated across every possible pair of PUF responses across all tested PUF memory segments from each of 223 LPDDR4 DRAM chips. To understand manufacturer-related eects, Figure 4 sepa- 51/45

52 manufacturers are tightly distributed close to 0 (not shown). Figure 4: Distributions of Jaccard indices calculated between PUF responses of DRAM chips from a single manufacturer Unclonability. We attribute the probabilistic behavior 52/45

53 fraction of memory segments per chip that are observed to segments across n devices, where n is in 90% the left hibit have Intra-Jaccard index ranges below 0.1indicated and 0.2. Over column, the rows indicate di erent manufacturers or C. of alland segments in each chip arethe suitable for PUF evaluation of resthe 3 chips containingindex the memory segments. for Intra-Jaccard ranges below 0.1, and over 97% for ty. Intra-Jaccard index ranges#total below 0.2. This means that each #Chips Memory Segments abil- chip has a signi cant number of memory segments that are A ,824 a 30- viable for DRAM latency PUF evaluation. Furthermore, the B are very 12 narrow, which 442,879 s for distributions indicates that di erent 437,990 that every chip has es a chips showcsimilar14behavior. We conclude key a signi cant number of PUF memory segments that exhibit 2: Number of PUF memory segments tested 7.5 forhow 30 days. netable a high repeatability across time. We show in Section cross Inwe can use a simple characterization step to identify these order to demonstrate the repeatability of evaluating a own viable memory segments quickly and reliably. DRAM latency PUF over long periods of time, we continumory %Memory Segments per across Chip ously evaluate our DRAM latency PUF a 30-day period left Intra-Jaccard index range <0.1 Intra-Jaccard index range <0.2 using each of our [99.08, chosen memory segments. For each memrs of A ] [100.00, ] ory segment, we calculate B [82.13, 99.96] the Intra-Jaccard [95.37, index ] between the rst response and each subsequent PUF response. C PUF [89.20, ] [95.48, ] We nd Intra-Jaccard index range, or theper range values Tablethe 3: Percentage of PUF memory segments chipof with (max_value min_value) Jaccard indices Intra-Jaccard index ranges found <0.1 or across 0.2 overthe a 30-day period. Median for [minimum, maximum] values are shown. calculated every pair of PUF responses from a memory days. segment. If a memory segment exhibits ahow lowchanges Intra-Jaccard Temperature E ects. To demonstrate in 53/45 range, thea ect memory segment we generates PUF evaluation, evaluate highly-similar the DRAM ng index a temperature

54 Temperature Effects eect during device enrollment. Figure 6: DRAM latency PUF repeatability vs. temperature. o 54/45

55 Evaluating a DRAM Latency PUF Algorithm 2: Evaluate DRAM latency PUF 1 evaluate_dram_latency_puf(seg_id): 2 write known data (all 1 s) to Segment[seg_id] 3 rank_id Ω DRAM rank containing seg_id 4 obtain exclusive access to Rank[rank_id] 5 set low t RCD for Rank[rank_id] 6 for i = 1 to num_iterations : 7 for col in Segment[seg_id] 8 for row in Segment[seg_id]: // column-order reads 9 read() // induce read failures 10 memory_barrier() // one access at a time 11 count_failures() // record in another rank 12 set default t RCD for Rank[rank_id] 13 lter the PUF memory segment // See Filtering Mechanism 14 release exclusive access to Rank[rank_id] 15 return error pattern at Segment[seg_id] location should be set ( 1 ) or cleared ( 0 ) in the nal PUF 55/45

56 bit location. Otherwise, we clear it. Memory Footprint. Equation 2 provides the memory footprint required by PUF evaluation: mem total = (size mem_seg )+(size counter_buer ) (2) where size mem_seg is the size of the PUF memory segment and size counter_buer is the size of the counter buer. The size of the counter buer can be calculated using Equation 3: size counter_buer = (size mem_seg ) Álog 2 N iters Ë (3) where size _ is the size of the PUF memory segment 56/45

57 #Chips Good Memory Segments per Chip (%) A [100.00, ] B [64.06, ] C [19.37, 95.31] Table 4: Percentage of good memory segments per chip across manufacturers. Median [min, max] values are shown Support for Changing Timing Parameters 57/45

58 DRAM Characterization 58/45

59 Sources of Retention Time Variation Process/voltage/temperature Data pattern dependence (DPD) - Retention times change with data in cells/neighbors - e.g., all 1 s vs. all 0 s Variable retention time (VRT) - Retention time changes randomly (unpredictably) - Due to a combination of various circuit effects 59/45

60 Long-term Continuous Profiling # New Failing Cells Representative chip from Vendor B, 2048ms, 45 C Error correction codes (ECC) and online profiling are necessary to manage new failing cells Time (days) New failing cells continue to appear over time - Attributed to variable retention time (VRT) The set of failing cells changes over time 60/45

61 Single-cell Failure Probability (Cartoon) Probability of Read Failure idealized cell (retention time = 3s) Refresh Interval (s) actual cell N(μ, σ) μ = 3s 61/45

62 Single-cell Failure Probability (Real) operate here profile here Read Failure Probability hard to find Refresh Interval (s) easy to find Any cell is more likely to fail at a longer refresh interval failed 9 times out of 16 trials OR a higher temperature false positives 62/45

63 Temperature Relationship Well-fitting exponential relationship: E.g., 10 C ~ 10x more failures 63/45

64 Retention 45 C 64/45

65 VRT Failure Accumulation Rate 65/45

66 800 Rounds of 2048ms, 45 C 66/45

67 800 Rounds of 2048ms, 45 C 67/45

68 Individual Cell Failure Probabilities Single representative chip of Vendor B at 40 C Refresh intervals ranging from 64ms to 4096ms 68/45

69 Individual Cell Failure Distributions 69/45

70 Single-cell Failures With Temperature Single representative chip of Vendor B {mean, std} for cells between 64ms and 4096ms 70/45

A DRAM based Physical Unclonable Function Capable of Generating >10 32 Challenge Response Pairs per 1Kbit Array for Secure Chip Authentication

A DRAM based Physical Unclonable Function Capable of Generating >10 32 Challenge Response Pairs per 1Kbit Array for Secure Chip Authentication A DRAM based Physical Unclonable Function Capable of Generating >10 32 Challenge Response Pairs per 1Kbit Array for Secure Chip Authentication Q. Tang, C. Zhou, *W. Choi, *G. Kang, *J. Park, K. K. Parhi,

More information

Bitline PUF:! Building Native Challenge-Response PUF Capability into Any SRAM. Daniel E. Holcomb Kevin Fu University of Michigan

Bitline PUF:! Building Native Challenge-Response PUF Capability into Any SRAM. Daniel E. Holcomb Kevin Fu University of Michigan Sept 26, 24 Cryptographic Hardware and Embedded Systems Bitline PUF:! Building Native Challenge-Response PUF Capability into Any SRAM Daniel E. Holcomb Kevin Fu University of Michigan Acknowledgment: This

More information

Soft Response Generation and Thresholding Strategies for Linear and Feed-Forward MUX PUFs

Soft Response Generation and Thresholding Strategies for Linear and Feed-Forward MUX PUFs Soft Response Generation and Thresholding Strategies for Linear and Feed-Forward MUX PUFs Chen Zhou, SarojSatapathy, YingjieLao, KeshabK. Parhiand Chris H. Kim Department of ECE University of Minnesota

More information

A Physical Unclonable Function based on Capacitor Mismatch in a Charge-Redistribution SAR-ADC

A Physical Unclonable Function based on Capacitor Mismatch in a Charge-Redistribution SAR-ADC A Physical Unclonable Function based on Capacitor Mismatch in a Charge-Redistribution SAR-ADC Qianying Tang, Won Ho Choi, Luke Everson, Keshab K. Parhi and Chris H. Kim University of Minnesota Department

More information

Physical Unclonable Functions (PUFs) and Secure Processors. Srini Devadas Department of EECS and CSAIL Massachusetts Institute of Technology

Physical Unclonable Functions (PUFs) and Secure Processors. Srini Devadas Department of EECS and CSAIL Massachusetts Institute of Technology Physical Unclonable Functions (PUFs) and Secure Processors Srini Devadas Department of EECS and CSAIL Massachusetts Institute of Technology 1 Security Challenges How to securely authenticate devices at

More information

High Reliability PUF using Hot-Carrier Injection Based Response Reinforcement

High Reliability PUF using Hot-Carrier Injection Based Response Reinforcement High Reliability PUF using Hot-Carrier Injection Based Response Reinforcement Mudit Bhargava and Ken Mai Electrical and Computer Engineering Carnegie Mellon University CHES 2013 Key Generation using PUFs

More information

www.unique-project.eu Exchange of security-critical data Computing Device generates, stores and processes security-critical information Computing Device 2 However: Cryptographic secrets can be leaked by

More information

Moving PUFs out of the lab

Moving PUFs out of the lab Moving PUFs out of the lab Patrick Schaumont 2/3/2012 Research results by Abhranil Maiti, Jeff Casarona, Luke McHale, Logan McDougall, Vikash Gunreddy, Michael Cantrell What is a Physical Unclonable Function?

More information

Intrinsic Rowhammer PUFs: Leveraging the Rowhammer Effect for Improved Security

Intrinsic Rowhammer PUFs: Leveraging the Rowhammer Effect for Improved Security Intrinsic Rowhammer PUFs: Leveraging the Rowhammer Effect for Improved Security André Schaller, Wenjie Xiong, Nikolaos Athanasios Anagnostopoulos, Muhammad Umair Saleem, Sebastian Gabmeyer, Stefan Katzenbeisser

More information

Eliminating the Error Floor for LDPC with NAND Flash

Eliminating the Error Floor for LDPC with NAND Flash Eliminating the Error Floor for LDPC with NAND Flash Shafa Dahandeh, Guangming Lu, Chris Gollnick NGD Systems Aug. 8 18 1 Agenda 3D TLC & QLC NAND Error Characteristics Program/Erase Cycling (Endurance)

More information

SRAM-based Physical Unclonable Functions

SRAM-based Physical Unclonable Functions Feb 26, 25 @ Worcester Polytechnic Institute SRAM-based Physical Unclonable Functions Daniel E. Holcomb UMass Amherst Collaborators for these works: Wayne P Burleson Kevin Fu Amir Rahmati Uli Ruhrmair

More information

FPGA PUF Based on Programmable LUT Delays

FPGA PUF Based on Programmable LUT Delays FPGA PUF Based on Programmable LUT Delays Bilal Habib Kris Gaj Jens-Peter Kaps Cryptographic Engineering Research Group (CERG) http://cryptography.gmu.edu Department of ECE, Volgenau School of Engineering,

More information

IMPROVING THE QUALITY OF A PHYSICAL UNCLONABLE FUNCTION USING CONFIGURABLE RING OSCILLATORS Abhranil Maiti, Patrick Schaumont

IMPROVING THE QUALITY OF A PHYSICAL UNCLONABLE FUNCTION USING CONFIGURABLE RING OSCILLATORS Abhranil Maiti, Patrick Schaumont IMPROVING THE QUALITY OF A PHYSICAL UNCLONABLE FUNCTION USING CONFIGURABLE RING OSCILLATORS Abhranil Maiti, Patrick Schaumont Electrical and Computer Engineering Department Virginia Tech Blacksburg, VA

More information

Active and Passive Side-Channel Attacks on Delay Based PUF Designs

Active and Passive Side-Channel Attacks on Delay Based PUF Designs 1 Active and Passive Side-Channel Attacks on Delay Based PUF Designs Georg T. Becker, Raghavan Kumar Abstract Physical Unclonable Functions (PUFs) have emerged as a lightweight alternative to traditional

More information

Anne Bracy CS 3410 Computer Science Cornell University

Anne Bracy CS 3410 Computer Science Cornell University Anne Bracy CS 3410 Computer Science Cornell University These slides are the product of many rounds of teaching CS 3410 by Professors Weatherspoon, Bala, Bracy, and Sirer. Complex question How fast is the

More information

Dopingless Transistor based Hybrid Oscillator Arbiter Physical Unclonable Function

Dopingless Transistor based Hybrid Oscillator Arbiter Physical Unclonable Function Dopingless Transistor based Hybrid Oscillator Arbiter Physical Unclonable Function V. P. Yanambaka 1, S. P. Mohanty 2, E. Kougianos 3, P. Sundaravadivel 4 and J. Singh 5 NanoSystem Design Laboratory (NSDL,

More information

Budget Management In GSP (2018)

Budget Management In GSP (2018) Budget Management In GSP (2018) Yahoo! March 18, 2018 Miguel March 18, 2018 1 / 26 Today s Presentation: Budget Management Strategies in Repeated auctions, Balseiro, Kim, and Mahdian, WWW2017 Learning

More information

A Heuristic Method for Statistical Digital Circuit Sizing

A Heuristic Method for Statistical Digital Circuit Sizing A Heuristic Method for Statistical Digital Circuit Sizing Stephen Boyd Seung-Jean Kim Dinesh Patil Mark Horowitz Microlithography 06 2/23/06 Statistical variation in digital circuits growing in importance

More information

Soft Response Generation and Thresholding Strategies for Linear and Feed-Forward MUX PUFs

Soft Response Generation and Thresholding Strategies for Linear and Feed-Forward MUX PUFs Soft esponse Generation and Thresholding Strategies for Linear and Feed-Forward MUX PUFs Chen Zhou, Saroj Satapathy, Yingjie Lao, Keshab K. Parhi and Chris H. Kim Department of ECE, University of Minnesota,

More information

Financial Risk Modeling on Low-power Accelerators: Experimental Performance Evaluation of TK1 with FPGA

Financial Risk Modeling on Low-power Accelerators: Experimental Performance Evaluation of TK1 with FPGA Financial Risk Modeling on Low-power Accelerators: Experimental Performance Evaluation of TK1 with FPGA Rajesh Bordawekar and Daniel Beece IBM T. J. Watson Research Center 3/17/2015 2014 IBM Corporation

More information

Employing Process Variation for Building Chip Identifiers

Employing Process Variation for Building Chip Identifiers Turning Lemons into Lemonade: Employing Process Variation for Building Chip Identifiers Leyla Nazhandali, Electrical and Computer Eng. Department Virginia Tech Outline Part 1: What are PUFs? Identity of

More information

Evolution of Strategies with Different Representation Schemes. in a Spatial Iterated Prisoner s Dilemma Game

Evolution of Strategies with Different Representation Schemes. in a Spatial Iterated Prisoner s Dilemma Game Submitted to IEEE Transactions on Computational Intelligence and AI in Games (Final) Evolution of Strategies with Different Representation Schemes in a Spatial Iterated Prisoner s Dilemma Game Hisao Ishibuchi,

More information

SPARKS Smart Grids Week Stakeholder Workshop

SPARKS Smart Grids Week Stakeholder Workshop SPARKS Smart Grids Week Stakeholder Workshop Smart meter (gateway) authentication and key management using hardware PUFs Physical structures are unique every physical object is unique, has a specific fingerprint

More information

4 Reinforcement Learning Basic Algorithms

4 Reinforcement Learning Basic Algorithms Learning in Complex Systems Spring 2011 Lecture Notes Nahum Shimkin 4 Reinforcement Learning Basic Algorithms 4.1 Introduction RL methods essentially deal with the solution of (optimal) control problems

More information

Robust and Reverse-Engineering Resilient PUF Authentication and Key-Exchange by Substring Matching

Robust and Reverse-Engineering Resilient PUF Authentication and Key-Exchange by Substring Matching Received 10 May 2013; revised 9 October 2013; accepted 22 December 2013. Date of publication xx xxx xxxx; date of current version xx xxx xxxx. Digital Object Identifier 10.1109/TETC.2014.2300635 Robust

More information

Statistical Static Timing Analysis: How simple can we get?

Statistical Static Timing Analysis: How simple can we get? Statistical Static Timing Analysis: How simple can we get? Chirayu Amin, Noel Menezes *, Kip Killpack *, Florentin Dartu *, Umakanta Choudhury *, Nagib Hakim *, Yehea Ismail ECE Department Northwestern

More information

Variation Aware Placement for Efficient Key Generation using Physically Unclonable Functions in Reconfigurable Systems

Variation Aware Placement for Efficient Key Generation using Physically Unclonable Functions in Reconfigurable Systems University of Massachusetts Amherst ScholarWorks@UMass Amherst Masters Theses Dissertations and Theses 2016 Variation Aware Placement for Efficient Key Generation using Physically Unclonable Functions

More information

AFRL-RI-RS-TR

AFRL-RI-RS-TR AFRL-RI-RS-TR-2014-018 IC PIRACY PROTECTION BY APUF AND LOGIC OBFUSCATION RICE UNIVERSITY JANUARY 2014 FINAL TECHNICAL REPORT STINFO COPY AIR FORCE RESEARCH LABORATORY INFORMATION DIRECTORATE AIR FORCE

More information

Secure and Energy Efficient Physical Unclonable Functions

Secure and Energy Efficient Physical Unclonable Functions University of Massachusetts Amherst ScholarWorks@UMass Amherst Masters Theses 1911 - February 2014 Dissertations and Theses 2012 Secure and Energy Efficient Physical Unclonable Functions Sudheendra Srivathsa

More information

PUF RO (RING OSCILLATOR)

PUF RO (RING OSCILLATOR) PUF RO (RING OSCILLATOR) EEC 492/592, CIS 493 Hands-on Experience on Computer System Security Chan Yu Cleveland State University CIRCUIT PUF - PREVIOUS WORK Ravikanth et. al proposed the first PUF in literature

More information

Numerical Methods in Option Pricing (Part III)

Numerical Methods in Option Pricing (Part III) Numerical Methods in Option Pricing (Part III) E. Explicit Finite Differences. Use of the Forward, Central, and Symmetric Central a. In order to obtain an explicit solution for the price of the derivative,

More information

Novel Reconfigurable Silicon Physical Unclonable Functions

Novel Reconfigurable Silicon Physical Unclonable Functions Novel Reconfigurable Silicon Physical Unclonable Functions Yingjie Lao and Keshab K. Parhi epartment of Electrical and Computer Engineering, University of Minnesota, Twin Cities {laoxx25, parhi}@umn.edu

More information

The Dynamic Cross-sectional Microsimulation Model MOSART

The Dynamic Cross-sectional Microsimulation Model MOSART Third General Conference of the International Microsimulation Association Stockholm, June 8-10, 2011 The Dynamic Cross-sectional Microsimulation Model MOSART Dennis Fredriksen, Pål Knudsen and Nils Martin

More information

Robust and Reverse-Engineering Resilient PUF Authentication and Key-Exchange by Substring Matching

Robust and Reverse-Engineering Resilient PUF Authentication and Key-Exchange by Substring Matching .9/TETC.24.23635, IEEE Transactions on Emerging Topics in Computing Robust and Reverse-Engineering Resilient PUF Authentication and Key-Exchange by Substring Matching Masoud Rostami, Mehrdad Majzoobi,

More information

Reinforcement Learning (1): Discrete MDP, Value Iteration, Policy Iteration

Reinforcement Learning (1): Discrete MDP, Value Iteration, Policy Iteration Reinforcement Learning (1): Discrete MDP, Value Iteration, Policy Iteration Piyush Rai CS5350/6350: Machine Learning November 29, 2011 Reinforcement Learning Supervised Learning: Uses explicit supervision

More information

McKesson Radiology 12.0 Web Push

McKesson Radiology 12.0 Web Push McKesson Radiology 12.0 Web Push The scenario Your institution has radiologists who interpret studies using various personal computers (PCs) around and outside your enterprise. The PC might be in one of

More information

CS599: Algorithm Design in Strategic Settings Fall 2012 Lecture 6: Prior-Free Single-Parameter Mechanism Design (Continued)

CS599: Algorithm Design in Strategic Settings Fall 2012 Lecture 6: Prior-Free Single-Parameter Mechanism Design (Continued) CS599: Algorithm Design in Strategic Settings Fall 2012 Lecture 6: Prior-Free Single-Parameter Mechanism Design (Continued) Instructor: Shaddin Dughmi Administrivia Homework 1 due today. Homework 2 out

More information

Processor-Based Strong Physical Unclonable Functions with Aging-Based Response Tuning

Processor-Based Strong Physical Unclonable Functions with Aging-Based Response Tuning IEEE TRANSACTIONS ON EMERGING TOPICS IN COMPUTING, VOL. X, NO. X, DECEMBER 213 1 Processor-Based Strong Physical Unclonable Functions with Aging-Based Response Tuning Joonho Kong, Member, IEEE, and Farinaz

More information

Adapting Voltage Ramp-up Time for Temperature Noise Reduction on Memory-based PUFs

Adapting Voltage Ramp-up Time for Temperature Noise Reduction on Memory-based PUFs Adapting Voltage Ramp-up Time for Temperature Noise Reduction on Memory-based PUFs Mafalda Cortez Said Hamdioui Delft University of Technology Faculty of EE, Mathematics and CS Mekelweg 4, 2628 CD Delft,

More information

Reinforcement Learning (1): Discrete MDP, Value Iteration, Policy Iteration

Reinforcement Learning (1): Discrete MDP, Value Iteration, Policy Iteration Reinforcement Learning (1): Discrete MDP, Value Iteration, Policy Iteration Piyush Rai CS5350/6350: Machine Learning November 29, 2011 Reinforcement Learning Supervised Learning: Uses explicit supervision

More information

COMP417 Introduction to Robotics and Intelligent Systems. Reinforcement Learning - 2

COMP417 Introduction to Robotics and Intelligent Systems. Reinforcement Learning - 2 COMP417 Introduction to Robotics and Intelligent Systems Reinforcement Learning - 2 Speaker: Sandeep Manjanna Acklowledgement: These slides use material from Pieter Abbeel s, Dan Klein s and John Schulman

More information

Chapter 1: Data Storage

Chapter 1: Data Storage Chapter 1: Data Storage Computer Science: An Overview Tenth Edition by J. Glenn Brookshear Presentation files modified by Farn Wang Copyright 2008 Pearson Education, Inc. Publishing as Pearson Addison-Wesley

More information

Online Appendix. Moral Hazard in Health Insurance: Do Dynamic Incentives Matter? by Aron-Dine, Einav, Finkelstein, and Cullen

Online Appendix. Moral Hazard in Health Insurance: Do Dynamic Incentives Matter? by Aron-Dine, Einav, Finkelstein, and Cullen Online Appendix Moral Hazard in Health Insurance: Do Dynamic Incentives Matter? by Aron-Dine, Einav, Finkelstein, and Cullen Appendix A: Analysis of Initial Claims in Medicare Part D In this appendix we

More information

Markov Decision Processes

Markov Decision Processes Markov Decision Processes Robert Platt Northeastern University Some images and slides are used from: 1. CS188 UC Berkeley 2. AIMA 3. Chris Amato Stochastic domains So far, we have studied search Can use

More information

An endogenous growth model with human capital and learning

An endogenous growth model with human capital and learning An endogenous growth model with human capital and learning Prof. George McCandless UCEMA May 0, 20 One can get an AK model by directly introducing human capital accumulation. The model presented here is

More information

Legend. Extra options used in the different configurations slow Apache (all default) svnserve (all default) file: (all default) dump (all default)

Legend. Extra options used in the different configurations slow Apache (all default) svnserve (all default) file: (all default) dump (all default) Legend Environment Computer VM on XEON E5-2430 2.2GHz; assigned 2 cores, 4GB RAM OS Windows Server 2012, x64 Storage iscsi SAN, using spinning SCSI discs Tests log $repo/ -v --limit 50000 export $ruby/trunk

More information

Data Dissemination and Broadcasting Systems Lesson 08 Indexing Techniques for Selective Tuning

Data Dissemination and Broadcasting Systems Lesson 08 Indexing Techniques for Selective Tuning Data Dissemination and Broadcasting Systems Lesson 08 Indexing Techniques for Selective Tuning Oxford University Press 2007. All rights reserved. 1 Indexing A method for selective tuning Indexes temporally

More information

Gamma. The finite-difference formula for gamma is

Gamma. The finite-difference formula for gamma is Gamma The finite-difference formula for gamma is [ P (S + ɛ) 2 P (S) + P (S ɛ) e rτ E ɛ 2 ]. For a correlation option with multiple underlying assets, the finite-difference formula for the cross gammas

More information

Conover Test of Variances (Simulation)

Conover Test of Variances (Simulation) Chapter 561 Conover Test of Variances (Simulation) Introduction This procedure analyzes the power and significance level of the Conover homogeneity test. This test is used to test whether two or more population

More information

Combining Differential Privacy and Secure Multiparty Computation

Combining Differential Privacy and Secure Multiparty Computation Combining Differential Privacy and Secure Multiparty Computation Martin Pettai, Peeter Laud {martin.pettai peeter.laud}@cyber.ee December 11th, 2015 Introduction Problem Institutions have data about individuals

More information

Secure Two-party Threshold ECDSA from ECDSA Assumptions. Jack Doerner, Yashvanth Kondi, Eysa Lee, and abhi shelat Northeastern University

Secure Two-party Threshold ECDSA from ECDSA Assumptions. Jack Doerner, Yashvanth Kondi, Eysa Lee, and abhi shelat Northeastern University Secure Two-party Threshold ECDSA from ECDSA Assumptions Jack Doerner, Yashvanth Kondi, Eysa Lee, and abhi shelat Northeastern University Elliptic Curve Digital Signature Algorithm Digital Signature Algorithm

More information

Ultra-lightweight and Reconfigurable Tristate Inverter Based Physical Unclonable Function Design

Ultra-lightweight and Reconfigurable Tristate Inverter Based Physical Unclonable Function Design Ultra-lightweight and Reconfigurable Inverter Based Physical Unclonable Function Design Cui, Y., Gu, C., Wang, C., O'Neill, M., & Liu, W. (2018). Ultra-lightweight and Reconfigurable Inverter Based Physical

More information

Reinforcement Learning Analysis, Grid World Applications

Reinforcement Learning Analysis, Grid World Applications Reinforcement Learning Analysis, Grid World Applications Kunal Sharma GTID: ksharma74, CS 4641 Machine Learning Abstract This paper explores two Markov decision process problems with varying state sizes.

More information

Reverse Engineering and Prevention Techniques for Physical Unclonable Functions Using Side Channels

Reverse Engineering and Prevention Techniques for Physical Unclonable Functions Using Side Channels Reverse Engineering and Prevention Techniques for Physical Unclonable Functions Using Side Channels Sheng Wei * James B. Wendt * Ani Nahapetian * Miodrag Potkonjak * * University of California, Los Angeles

More information

COS 318: Operating Systems. CPU Scheduling. Jaswinder Pal Singh Computer Science Department Princeton University

COS 318: Operating Systems. CPU Scheduling. Jaswinder Pal Singh Computer Science Department Princeton University COS 318: Operating Systems CPU Scheduling Jaswinder Pal Singh Computer Science Department Princeton University (http://www.cs.princeton.edu/courses/cos318/) Today s Topics u CPU scheduling basics u CPU

More information

RRAM-based PUF: Design and Applications in Cryptography. Ayush Shrivastava

RRAM-based PUF: Design and Applications in Cryptography. Ayush Shrivastava RRAM-based PUF: Design and Applications in Cryptography by Ayush Shrivastava A Thesis Presented in Partial Fulfillment of the Requirements for the Degree Master of Science Approved July 2015 by the Graduate

More information

Collateralized Debt Obligation Pricing on the Cell/B.E. -- A preliminary Result

Collateralized Debt Obligation Pricing on the Cell/B.E. -- A preliminary Result Collateralized Debt Obligation Pricing on the Cell/B.E. -- A preliminary Result Lurng-Kuo Liu Virat Agarwal Outline Objectivee Collateralized Debt Obligation Basics CDO on the Cell/B.E. A preliminary result

More information

yuimagui: A graphical user interface for the yuima package. User Guide yuimagui v1.0

yuimagui: A graphical user interface for the yuima package. User Guide yuimagui v1.0 yuimagui: A graphical user interface for the yuima package. User Guide yuimagui v1.0 Emanuele Guidotti, Stefano M. Iacus and Lorenzo Mercuri February 21, 2017 Contents 1 yuimagui: Home 3 2 yuimagui: Data

More information

Domokos Vermes. Min Zhao

Domokos Vermes. Min Zhao Domokos Vermes and Min Zhao WPI Financial Mathematics Laboratory BSM Assumptions Gaussian returns Constant volatility Market Reality Non-zero skew Positive and negative surprises not equally likely Excess

More information

Load Test Report. Moscow Exchange Trading & Clearing Systems. 07 October Contents. Testing objectives... 2 Main results... 2

Load Test Report. Moscow Exchange Trading & Clearing Systems. 07 October Contents. Testing objectives... 2 Main results... 2 Load Test Report Moscow Exchange Trading & Clearing Systems 07 October 2017 Contents Testing objectives... 2 Main results... 2 The Equity & Bond Market trading and clearing system... 2 The FX Market trading

More information

Assessing Solvency by Brute Force is Computationally Tractable

Assessing Solvency by Brute Force is Computationally Tractable O T Y H E H U N I V E R S I T F G Assessing Solvency by Brute Force is Computationally Tractable (Applying High Performance Computing to Actuarial Calculations) E D I N B U R M.Tucker@epcc.ed.ac.uk Assessing

More information

Lecture 17: More on Markov Decision Processes. Reinforcement learning

Lecture 17: More on Markov Decision Processes. Reinforcement learning Lecture 17: More on Markov Decision Processes. Reinforcement learning Learning a model: maximum likelihood Learning a value function directly Monte Carlo Temporal-difference (TD) learning COMP-424, Lecture

More information

Week 1 Quantitative Analysis of Financial Markets Distributions B

Week 1 Quantitative Analysis of Financial Markets Distributions B Week 1 Quantitative Analysis of Financial Markets Distributions B Christopher Ting http://www.mysmu.edu/faculty/christophert/ Christopher Ting : christopherting@smu.edu.sg : 6828 0364 : LKCSB 5036 October

More information

The good, the bad and the statistical

The good, the bad and the statistical The good, the bad and the statistical Noel Menezes Strategic CAD Labs Design and Technology Solutions Intel Corp. Acknowledgements Keith Bowman Yossi Abulafia Steve Burns Mahesh Ketkar Vivek De Jim Tschanz

More information

Finding Roots by "Closed" Methods

Finding Roots by Closed Methods Finding Roots by "Closed" Methods One general approach to finding roots is via so-called "closed" methods. Closed methods A closed method is one which starts with an interval, inside of which you know

More information

Fuel-Switching Capability

Fuel-Switching Capability Fuel-Switching Capability Alain Bousquet and Norbert Ladoux y University of Toulouse, IDEI and CEA June 3, 2003 Abstract Taking into account the link between energy demand and equipment choice, leads to

More information

Microprocessor Based Physical Unclonable Function

Microprocessor Based Physical Unclonable Function Microprocessor Based Physical Unclonable Function Sudeendra kumar K, Sauvagya Sahoo, Abhishek Mahapatra, Ayas Kanta Swain, K.K.Mahapatra kumar.sudeendra@gmail.com, sauvagya.nitrkl@gmail.com, kmaha2@gmail.com

More information

Chapter 1: Data Storage

Chapter 1: Data Storage Chapter 1: Data Storage Computer Science: An Overview Tenth Edition by J. Glenn Brookshear Copyright 2008 Pearson Education, Inc. Publishing as Pearson Addison-Wesley Chapter 1: Data Storage 1.1 Bits and

More information

Color Pay : Next Paradigm for Instant Payment

Color Pay : Next Paradigm for Instant Payment Color Pay : Next Paradigm for Instant Payment Table of Contents Table of Contents 2 Abstract 2 What is PUF? 3 Overview of PUF 3 Architecture of PUF Chip 3 Internals of PUF Chip 4 External Interfaces of

More information

Chapter 5. Continuous Random Variables and Probability Distributions. 5.1 Continuous Random Variables

Chapter 5. Continuous Random Variables and Probability Distributions. 5.1 Continuous Random Variables Chapter 5 Continuous Random Variables and Probability Distributions 5.1 Continuous Random Variables 1 2CHAPTER 5. CONTINUOUS RANDOM VARIABLES AND PROBABILITY DISTRIBUTIONS Probability Distributions Probability

More information

CPSC 540: Machine Learning

CPSC 540: Machine Learning CPSC 540: Machine Learning Monte Carlo Methods Mark Schmidt University of British Columbia Winter 2019 Last Time: Markov Chains We can use Markov chains for density estimation, d p(x) = p(x 1 ) p(x }{{}

More information

Bits and Bit Patterns. Chapter 1: Data Storage (continued) Chapter 1: Data Storage

Bits and Bit Patterns. Chapter 1: Data Storage (continued) Chapter 1: Data Storage Chapter 1: Data Storage Computer Science: An Overview by J. Glenn Brookshear Chapter 1: Data Storage 1.1 Bits and Their Storage 1.2 Main Memory 1.3 Mass Storage 1.4 Representing Information as Bit Patterns

More information

Rate-Based Execution Models For Real-Time Multimedia Computing. Extensions to Liu & Layland Scheduling Models For Rate-Based Execution

Rate-Based Execution Models For Real-Time Multimedia Computing. Extensions to Liu & Layland Scheduling Models For Rate-Based Execution Rate-Based Execution Models For Real-Time Multimedia Computing Extensions to Liu & Layland Scheduling Models For Rate-Based Execution Kevin Jeffay Department of Computer Science University of North Carolina

More information

Economics 2010c: Lecture 4 Precautionary Savings and Liquidity Constraints

Economics 2010c: Lecture 4 Precautionary Savings and Liquidity Constraints Economics 2010c: Lecture 4 Precautionary Savings and Liquidity Constraints David Laibson 9/11/2014 Outline: 1. Precautionary savings motives 2. Liquidity constraints 3. Application: Numerical solution

More information

Monitoring - revisited

Monitoring - revisited Monitoring - revisited Anders Ringgaard Kristensen Slide 1 Outline Filtering techniques applied to monitoring of daily gain in slaughter pigs: Introduction Basic monitoring Shewart control charts DLM and

More information

REPORT DOCUMENTATION PAGE

REPORT DOCUMENTATION PAGE REPORT DOCUMENTATION PAGE Form Approved OMB No. 74-88 The public reporting burden for this collection of information is estimated to average hour per response, including the time for reviewing instructions,

More information

Validating TIP$TER Can You Trust Its Math?

Validating TIP$TER Can You Trust Its Math? Validating TIP$TER Can You Trust Its Math? A Series of Tests Introduction: Validating TIP$TER involves not just checking the accuracy of its complex algorithms, but also ensuring that the third party software

More information

The 2 nd Order Polynomial Next Bar Forecast System Working Paper August 2004 Copyright 2004 Dennis Meyers

The 2 nd Order Polynomial Next Bar Forecast System Working Paper August 2004 Copyright 2004 Dennis Meyers The 2 nd Order Polynomial Next Bar Forecast System Working Paper August 2004 Copyright 2004 Dennis Meyers In a previous paper we examined a trading system, called The Next Bar Forecast System. That system

More information

Sublinear Time Algorithms Oct 19, Lecture 1

Sublinear Time Algorithms Oct 19, Lecture 1 0368.416701 Sublinear Time Algorithms Oct 19, 2009 Lecturer: Ronitt Rubinfeld Lecture 1 Scribe: Daniel Shahaf 1 Sublinear-time algorithms: motivation Twenty years ago, there was practically no investigation

More information

Optimal Search for Parameters in Monte Carlo Simulation for Derivative Pricing

Optimal Search for Parameters in Monte Carlo Simulation for Derivative Pricing Optimal Search for Parameters in Monte Carlo Simulation for Derivative Pricing Prof. Chuan-Ju Wang Department of Computer Science University of Taipei Joint work with Prof. Ming-Yang Kao March 28, 2014

More information

Optimizing the service of the Orange Line

Optimizing the service of the Orange Line Optimizing the service of the Orange Line Overview Increased crime rate in and around campus Shuttle-UM Orange Line 12:00am 3:00am late night shift A student standing or walking on and around campus during

More information

An Empirical Study of Optimization for Maximizing Diffusion in Networks

An Empirical Study of Optimization for Maximizing Diffusion in Networks An Empirical Study of Optimization for Maximizing Diffusion in Networks Kiyan Ahmadizadeh Bistra Dilkina, Carla P. Gomes, Ashish Sabharwal Cornell University Institute for Computational Sustainability

More information

New financial analysis tools at CARMA

New financial analysis tools at CARMA New financial analysis tools at CARMA Amir Salehipour CARMA, The University of Newcastle Joint work with Jonathan M. Borwein, David H. Bailey and Marcos López de Prado November 13, 2015 Table of Contents

More information

(High Dividend) Maximum Upside Volatility Indices. Financial Index Engineering for Structured Products

(High Dividend) Maximum Upside Volatility Indices. Financial Index Engineering for Structured Products (High Dividend) Maximum Upside Volatility Indices Financial Index Engineering for Structured Products White Paper April 2018 Introduction This report provides a detailed and technical look under the hood

More information

ECE 586GT: Problem Set 1: Problems and Solutions Analysis of static games

ECE 586GT: Problem Set 1: Problems and Solutions Analysis of static games University of Illinois Fall 2018 ECE 586GT: Problem Set 1: Problems and Solutions Analysis of static games Due: Tuesday, Sept. 11, at beginning of class Reading: Course notes, Sections 1.1-1.4 1. [A random

More information

TEPZZ 858Z 5A_T EP A1 (19) (11) EP A1 (12) EUROPEAN PATENT APPLICATION. (43) Date of publication: Bulletin 2015/15

TEPZZ 858Z 5A_T EP A1 (19) (11) EP A1 (12) EUROPEAN PATENT APPLICATION. (43) Date of publication: Bulletin 2015/15 (19) TEPZZ 88Z A_T (11) EP 2 88 02 A1 (12) EUROPEAN PATENT APPLICATION (43) Date of publication: 08.04. Bulletin / (1) Int Cl.: G06Q /00 (12.01) (21) Application number: 13638.6 (22) Date of filing: 01..13

More information

Learning Objectives = = where X i is the i t h outcome of a decision, p i is the probability of the i t h

Learning Objectives = = where X i is the i t h outcome of a decision, p i is the probability of the i t h Learning Objectives After reading Chapter 15 and working the problems for Chapter 15 in the textbook and in this Workbook, you should be able to: Distinguish between decision making under uncertainty and

More information

Liangzi AUTO: A Parallel Automatic Investing System Based on GPUs for P2P Lending Platform. Gang CHEN a,*

Liangzi AUTO: A Parallel Automatic Investing System Based on GPUs for P2P Lending Platform. Gang CHEN a,* 2017 2 nd International Conference on Computer Science and Technology (CST 2017) ISBN: 978-1-60595-461-5 Liangzi AUTO: A Parallel Automatic Investing System Based on GPUs for P2P Lending Platform Gang

More information

EE365: Markov Decision Processes

EE365: Markov Decision Processes EE365: Markov Decision Processes Markov decision processes Markov decision problem Examples 1 Markov decision processes 2 Markov decision processes add input (or action or control) to Markov chain with

More information

Experimental Evidence of Bank Runs as Pure Coordination Failures

Experimental Evidence of Bank Runs as Pure Coordination Failures Experimental Evidence of Bank Runs as Pure Coordination Failures Jasmina Arifovic (Simon Fraser) Janet Hua Jiang (Bank of Canada and U of Manitoba) Yiping Xu (U of International Business and Economics)

More information

Lesson Plan for Simulation with Spreadsheets (8/31/11 & 9/7/11)

Lesson Plan for Simulation with Spreadsheets (8/31/11 & 9/7/11) Jeremy Tejada ISE 441 - Introduction to Simulation Learning Outcomes: Lesson Plan for Simulation with Spreadsheets (8/31/11 & 9/7/11) 1. Students will be able to list and define the different components

More information

Trade Execution Analysis Generated by Markit

Trade Execution Analysis Generated by Markit Trade Execution Analysis Generated by Markit Global Liquidity Partners Best Execution Review 1st Quarter 2015 Contents S VT Report Summary Summarizes the best execution document and illustrates the distribution

More information

Automatic Generation and Optimisation of Reconfigurable Financial Monte-Carlo Simulations

Automatic Generation and Optimisation of Reconfigurable Financial Monte-Carlo Simulations Automatic Generation and Optimisation of Reconfigurable Financial Monte-Carlo s David B. Thomas, Jacob A. Bower, Wayne Luk {dt1,wl}@doc.ic.ac.uk Department of Computing Imperial College London Abstract

More information

The Robust Repeated Median Velocity System Working Paper October 2005 Copyright 2004 Dennis Meyers

The Robust Repeated Median Velocity System Working Paper October 2005 Copyright 2004 Dennis Meyers The Robust Repeated Median Velocity System Working Paper October 2005 Copyright 2004 Dennis Meyers In a previous article we examined a trading system that used the velocity of prices fit by a Least Squares

More information

Chapter 2 Uncertainty Analysis and Sampling Techniques

Chapter 2 Uncertainty Analysis and Sampling Techniques Chapter 2 Uncertainty Analysis and Sampling Techniques The probabilistic or stochastic modeling (Fig. 2.) iterative loop in the stochastic optimization procedure (Fig..4 in Chap. ) involves:. Specifying

More information

The Central Limit Theorem (Solutions) COR1-GB.1305 Statistics and Data Analysis

The Central Limit Theorem (Solutions) COR1-GB.1305 Statistics and Data Analysis The Central Limit Theorem (Solutions) COR1-GB1305 Statistics and Data Analysis 1 You draw a random sample of size n = 64 from a population with mean µ = 50 and standard deviation σ = 16 From this, you

More information

The Irrevocable Multi-Armed Bandit Problem

The Irrevocable Multi-Armed Bandit Problem The Irrevocable Multi-Armed Bandit Problem Ritesh Madan Qualcomm-Flarion Technologies May 27, 2009 Joint work with Vivek Farias (MIT) 2 Multi-Armed Bandit Problem n arms, where each arm i is a Markov Decision

More information

TOSHIBA Field Effect Transistor Silicon N Channel MOS Type RFM07U7X

TOSHIBA Field Effect Transistor Silicon N Channel MOS Type RFM07U7X RFMUX TOSHIBA Field Effect Transistor Silicon N Channel MOS Type RFMUX VHF- and UHF-band Amplifier Applications (Note)The TOSHIBA products listed in this document are intended for high frequency Power

More information

Chapter 5. Sampling Distributions

Chapter 5. Sampling Distributions Lecture notes, Lang Wu, UBC 1 Chapter 5. Sampling Distributions 5.1. Introduction In statistical inference, we attempt to estimate an unknown population characteristic, such as the population mean, µ,

More information

High throughput implementation of the new Secure Hash Algorithm through partial unrolling

High throughput implementation of the new Secure Hash Algorithm through partial unrolling High throughput implementation of the new Secure Hash Algorithm through partial unrolling Konstantinos Aisopos Athanasios P. Kakarountas Haralambos Michail Costas E. Goutis Dpt. of Electrical and Computer

More information