Employing Process Variation for Building Chip Identifiers

Size: px
Start display at page:

Download "Employing Process Variation for Building Chip Identifiers"

Transcription

1 Turning Lemons into Lemonade: Employing Process Variation for Building Chip Identifiers Leyla Nazhandali, Electrical and Computer Eng. Department Virginia Tech

2 Outline Part 1: What are PUFs? Identity of People and Things PUF Qualities and Threats Part 2: Silicon PUF Process Variation and Silicon PUF Basics Some of the Major Silicon PUFs Part 3: some Highlights of VT 2

3 Identity of Persons Hi! It's Alice. Hi Alice! How's the beach? Alice Bob People recognize one another using biometrics: voice, looks, gestures, gait,... 3

4 Identity of Persons Hi Bank! It's Alice. Can I get a loan? Sure, Ms. Alice! What's your social security number? Alice Bank Biometric (fingerprints) are not always practical A bank uses a simple test to verify identity: a challenge/response protocol 4

5 Identity of Persons Hi Bob! It's your Alice. Alice? Hey, who's this? X Bob Biometrics are an effective fingerprint of someone's identity Unique, and very difficult to impersonate 5

6 Identity of Persons Which one will make identity theft easier? 6

7 Identity of Things Matters Electronic devices have become ubiquitous. Security and privacy in many of these devices is crucial. Identity is the heart of any secure application. 7

8 Physical Unclonable Functions (PUF) Random uncontrollable physical variations are used to produce the identifier Cannot be copied or altered easily Provides low cost and secure alternative for device authentication. Random Input On the fly Unique PUF Output 8

9 Requirements for PUF We model a PUF as a C/R function with m bits input, n bits output Challenge m bits PUF Response n bits 9

10 Requirements for PUF PUF must be unique C PUF1 R1 For an ideal PUF design: R i a PUF2 R2 R j b PUF3 R3 if i j, then P(a=b) = 0.5 if i = j, then P(a=b) = 1 Same Challenge Different PUF 10

11 Requirements for PUF PUF must be unique C PUF1 R Hamming Distance = 2 PUF2 R PUF3 R Same Challenge Different PUF 11

12 Requirements for PUF PUF must be unique C PUF1 R PUF2 R Hamming Distance = 1 PUF3 R Same Challenge Different PUF 12

13 Requirements for PUF PUF must be stable C PUF1 PUF1 R R Stability on R Ideal: 100% Actual: % Same Challenge Same PUF Different Operating Parameters 13

14 Requirements for PUF PUF must be unique C PUF1 R1 For an ideal PUF design: Same PUF Different PUF PUF2 R2 PUF3 R3 0% 50% Hamming Distance Same Challenge Different PUF 14

15 Requirements for PUF Uniqueness plot for real PUF [Holcomb 09] Same PUF Different PUF 15

16 Requirements for PUF Uniqueness plot for real PUF [Holcomb 09] Issue 1: The average Hamming Distance for different PUF is less than 50% 50% Same PUF Different PUF 16

17 Requirements for PUF Uniqueness plot for real PUF [Holcomb 09] Issue 2: The average Hamming Distance for identical PUF is bigger than 0% Same PUF Different PUF 17

18 PUF Deployment 2 ways Challenge/Response (C/R) as an authentication system with many unique C/R pairs. Challenge Response

19 PUF Deployment 2 ways Key generation in cryptographic ciphers. Challenge is fixed to get a fixed response that is used as the secret key. The key is not observable by outside world. TPM PUF 19

20 Modeling Attack on PUF Modeling Attack C PUF R C PUF' R C C1 C2 C3 C4 R R1 R2 R3 R4 20

21 Modeling Attack on PUF Modeling attacks: predict future PUF responses by reverse engineering the PUF C/R pairs (training set). Matlab based logistic regression has been used to employ the attack. Challenge PUF Training Set (Challenge/Response pairs Model training using Logistic Regression PUF Model Match y/n? Report correct prediction % 21

22 Summary Physical Unclonable Functions are challenge/response Functions based on random, but stable, physical effects Quality Factors Uniqueness + Stability + Low risk of modeling Low Implementation Cost (Area, Power) 22

23 Outline Part 1: What are PUF? Identity of People and Things PUF Qualities and Threats Part 2: Silicon PUF Process Variation and Silicon PUF Basics Some of the Major Silicon PUFs Part 3: some Highlights of VT 23

24 Chip Design Flow Designer Fabrication Chip 24

25 Sources of Variation in ICs Non-uniform conditions during fabrication Variations in process parameters e.g. oxide thickness Variations in dimensions of the devices Changes in Transistor parameters e.g. I D & V T Variations in circuit characteristics e.g. speed Limited resolution of the photolithographic process 25

26 Lemon: Random PMV Designer Fabrication Chip1 Chip3 Chip2 Processing Lithography Random Process Manufacturing Variations 26

27 Our project: PUF in silicon Designer Fabrication Chip1 Chip3 Chip2 a Physical Unclonable Function is a chip-level structure that deliberately exploits random process manufacturing variations to establish the chip identity 27

28 CMOS PUFs - Advantages A CMOS PUF is fully compatible with existing standard CMOS (digital) design Cannot be cloned/copied Low power and area efficient for constrained embedded applications Inherently secure against invasive attacks IC characteristics change during dismantling 28

29 (Other) Sources of Variation in ICs Non-uniform conditions during fabrication Aging effects Variations in process parameters e.g. oxide thickness Variations in dimensions of the devices Limited resolution of the photolithographic process Changes in Transistor parameters e.g. I D & V T Temporary variations e.g. temperature Variations in circuit characteristics e.g. speed 29

30 Delay Based (Arbiter) PUF An artificial race condition in digital logic [Lim 2005] Switch Switch Switch Arbiter Response b 0 = 1 b 1 = 0 b 2 = 1 Challenge 30

31 Delay Based (Arbiter) PUF The two signals traverse two symmetrical paths. Switch Switch Switch Arbiter Response b 0 = 1 b 1 = 0 b 2 = 1 Challenge 31

32 Delay Based (Arbiter) PUF If you have n switches, this means you have 2 n challenge space. The Challenge/Response space (CR) space is exponential in size with respect to the number of switches. Building ideal switches that are fully symmetrical is extremely hard in ASIC and almost impossible in FPGA. Switch One challenge bit 32

33 Delay Based (Arbiter) PUF Even if it is possible to build non-biased Arbiter PUFs, they are very easily modeled. At N=12, Arbiter PUF has a C/R space of more than 4000 pairs. Nevertheless, simple regression modeling reaches 98% correct prediction at a training set of only 240 C/R pairs. 33

34 RO-PUF Challenge : which 2 ROs to compare? Frequency of r1 < frequency of r2 Response = 0 (Counter2 > Counter1) 34

35 RO-PUF If you have n ROs, this means you have C n 2 challenge space. The Challenge/Response space (CR) space is polynomial in size with respect to the number of switches. Building identical ROs is relatively easy, both in ASIC and FPGA domain. It is fairly resistant to modeling attack. 35

36 Bi-stable: SRAM PUF Each 6-T SRAM cell produces one bit of PUF response. On power up, the transistor mismatch in the cross-coupled inverters results in SRAM cell converging to a logic 1 or 0. Needs to power up SRAM to obtain PUF responses 36

37 Bi-stable: SRAM PUF[1] SRAM cell skew = Process variation mismatch + Noise Un-skewed cell 0-skewed cell Susceptible to noise at low supply voltages 37

38 Other Bi-stable PUFs Fundamentally, bi-stable PUFs exploit some cross-coupled circuit elements to generate responses Sense amplifier based PUFs [2] Butter fly PUF [3] Flip-flop PUF [4] Buskeeper PUF [5] Bi-stable Ring PUF [6] 38

39 Power Grid PUF [6] PUF based on passive components Variations in metal resistances in the power grid are exploited 39

40 Power Grid PUF [6] PUF s response voltage drops measured at a set of distinct locations on the power grid. set of equivalent resistances computed at different location on power grid. Stimulus measure circuitry added to sense different locations on the power grid 40

41 Outline Part 1: What are PUF? Identity of People and Things PUF Qualities and Threats Part 2: Silicon PUF Process Variation and Silicon PUF Basics Some of the Major Silicon PUFs Part 3: some Highlights of VT Select ArbRO PUF Taking PUF out of the lab 41

42 Drawback of Current PUFs Arbiter PUF c[1] c[2] c[n-1] c[n] Ring Oscillator PUF (RO PUF) A B... E 1 E 2 E N-1 E N CLR Q D SET Q c or d1 d3 d4 d2 Exponential C/R Space Vulnerable to modeling Harder to build Arbiter (DFF) race conditions c 0 d1-d2 1 s d3-d4 MUX Path delays d1,d2,d3,d4 Easy to build: ASIC & FPGA Small C/R space Large Area 42

43 Our Motivation We would like to build a new PUF that Is easy to build in both ASIC and FPGA. Provides large C/R space in a given area. Is Resistant to Modeling Attacks. S-ArbRO PUF inherits the good traits of both Arbiter PUF and RO PUF, and improves the available C/R space while being resistant to modeling attacks. 43

44 ArbRO PUF... E 1 E 2 E m 44

45 ArbRO PUF E 1 E 2 E m f(r 1 ) f(r 2 ) f(r 7 ) f(r 4 ) f(r n-3 ) f(r n-2 ) + >0? 45

46 Select-ArbRO PUF (S-ArbRO PUF) K-element set encoding Select Lines for that set Any K of the total N elements are used to generate PUF responses. 46

47 S-ArbRO PUF by example Number of elements (N) =4 Elements in a Subset (K) = 3 E1 E2 E3 E4 Number of Possible subsets : 4 (4 choose 3) Subset combinations : {E1 E2 E3}, {E1 E2 E4}, {E1 E3 E4}, {E2 E3 E4} Challenge bits: 3-element subset encoding Select Lines for each element of the subset 2-bits: 00 -> 11 3-bits: 000 -> E1 E2 E3 01 E1 E2 E4 10 E1 E3 E4 11 E2 E3 E4 47

48 S-ArbRO PUF by example Example Challenge: E1 E2 E3 E4 Subset Encoding : 01 Subset Chosen: E1 E2 E4 Challenge bits to the subset : 101 Challenge bits to E1, E2 and E4 are 1, 0 and 1 respectively Mc: {r3-r4} E1 + {r1-r2} E2 +{r3-r4} E4 48

49 Challenge/Response Space Named S-ArbRO-4 PUF as each element has 4 ROs. As only K out of the total N elements are used to generate PUF responses: There are many (N choose K) K-element subset combinations Each subset has a C/R space of 2 K. 49

50 S-ArbRO-2 PUF The architecture of S-ArbRO-2 PUF is similar to an S-ArbRO- 4 PUF but with 2 ROs. r1 r2 Only half of the challenge space is usable. A subset with K-elements has a C/R Space of 2 K-1 50

51 S-ArbRO-2 vs. S-ArbRO-4 For a given number of ROs, ArbRo-2 is better than ArbRo-4 both in the total C/R space and the size of the model training set. 51

52 Effect of K on S-ArbRO-2 PUF Total C/R space % of correct prediction For N=12, K=7 offers more secure C/R space. K=7 provides the optimum trade-off point between the number of subsets 52

53 Uniqueness (revisit) Challenge IC IC IC IC N N responses HD between IC-1 and IC-2 is 3 Histogram of the HDs M-bits Ideal Mean HD = Number of bits in a response (M)/2 53

54 Stability (revisit) Reliability is the quality of being consistent irrespective of the operating environment Reference 25C IC 1 IC 1 IC 1 Challenges Challenges HD=1 HD=2 Ideal HD =

55 Variability and Reliability 1 45C 2 65C Count Count Count HD HD Number of chips = 193 Mean HD of a 1000 bit response = 464 Number of chips = 4 Mean HD of to 45C = 16 Mean HD of to 65C = 23 Error rates of 1.6% and 2.3% 55

56 LR Modeling Attack % of correct prediction At N=12, Arbiter PUF reaches 98% prediction at a training set of only 240 C/R pairs. S-ArbRO PUF (N=12 and K=7) reaches a maximum of 96% prediction when 92% of its total C/R space is used as the training set. 56

57 S-ArbRO PUF Summary We proposed a Silicon PUF called S-ArbRO PUF using ring oscillators which has High C/R space in a given area More resistant to modeling attacks Easily implementable in both ASICs and FPGAs 57

58 Taking the PUF out of the Lab PUF Challenge K bits ID Static L bits Random Variable SRAM PUF? Arbiter PUF? RO PUF? NEW PUF? How to select among x PUF designs with roughly the same I/O? (K, L bits) How to decide which provides a better source of static entropy? 58

59 The PUF Quality Design Space We cannot measure entropy directly, but we can estimate it by measuring a large amount of devices Analyze PUF responses in a four-dimensional space: Chip index (N) Bit index in a ID (L) Measurement index for each ID (T) Bit index in challenge (K) This proposal only concerns the source of entropy; it does not cover area cost, performance 59

60 Starting point: Measuring PUFs ECE VT students use a prototyping FPGA board with a unique serial number We measured, over 2 years, 193 of these boards, each configured with an RO PUF 60

61 How to Encourage Data Collection 61

62 Measurements Database chips, one PUF per chip, 512 RO s per PUF, 100 measurements per PUF N = 193, L = 512, T = 100, K = NA 62

63 First Impression Average Frequency of each RO over 125 FPGAs 63

64 7 Metrics Characterize Design Space Population Uniqueness Probability of Misclassification Identifier Uniformity Bit Aliasing Stability Reliability Steadiness Challenge/Response Diffuseness Based on proposals by Maiti, Hori, Su, ao. 64

65 Metrics characterizing chip population Uniqueness K N L T Average Hamming Distance between IDs (over K, L, N) Expect 50% 65

66 Practical Comparisons RO-PUF Spartan 3E 90nm Arbiter PUF Virtex 5 65nm N=193 chips L=512-bit ID T=100 Meas/ID K=1 ID/Chip N=45 chips L=128-bit ID T=1024 Meas/ID K=1024 ID/Chip Virginia Tech AIST, JP satoh/sasebo/en/index.ht ml 66

67 Comparative Analysis Arbiter PUF Ring Osc PUF Ideal PUF Uniqueness* 36.7% 94.1% 100% Reliability 99.8% 99.1% 100% * Scaled to 100% 67

68 Sample Size is Important Confidence is proportional to s/sqrt(n) Arbiter PUF Ring Osc PUF Uniqueness 36.7% ± 15.4% 94.1% ± 1.5% Uniformity 55.7% ± 0.3% 50.6% ± 0.2% Two-sided 95% confidence 68

69 Stability issues: PUF Aging PUF PUF Static Random Variable Static Random Variable Aging induces permanent electrical changes Slower transistors, lower drive capability (NBTI, HCI, TDDB) Wires degrade or fail (Electromigration) 69

70 How to test aging effects Simulated by heating/overpowering Eg. MIL-STD-883G C shorter at higher temperatures, at specified bias Thermal Chamber 70

71 Experimental Setup T Stress V Stress Nominal V 1.2V V Stress 1.5V/1.8V Room Temperature 1 2 Temperature Stress (70C/80C)

72 Impact on Oscillation Frequency Frequency of 512 RO s under T + V Stress 72

73 Significant Impact on Reliability 73

74 Distribution of average frequency of 512 RO s after aging Aging after 400Hrs with T+V stress (Location-independent) Simulated Aging (Average, StdDev) 74

75 Aging Results for 178 FPGA s Uniqueness for a population of 178 FPGA s after aging Average Min Max Std Original V-stress 200 Hrs V-stress 400 Hrs T+V-stress 200 Hrs T+V-stress 400 Hrs Almost no impact! 75

76 Conclusions 4 dimensions characterize PUF quality Population Identifier Stability Challenge/Response Quality factors determined empirically PUFs grow old Impact on reliability is significant Impact on uniqueness is marginal 76

77 77

78 Thank YOU! 78

79 References [1] Daniel E. Holcomb, Wayne P. Burleson, and Kevin Fu Power-Up SRAM State as an Identifying Fingerprint and Source of True Random Numbers. IEEE Trans. Comput. 58, 9 (September 2009), DOI= /TC [2] Bhargava, M.; Cakir, C.; Mai, K., "Attack resistant sense amplifier based PUFs (SA-PUF) with deterministic and controllable reliability of PUF responses," Hardware-Oriented Security and Trust (HOST), 2010 IEEE International Symposium on, vol., no., pp.106,111, June 2010 doi: /HST [3] Kumar, S.S.; Guajardo, J.; Maes, R.; Schrijen, G.-J.; Tuyls, P., "Extended abstract: The butterfly PUF protecting IP on every FPGA," Hardware-Oriented Security and Trust, HOST IEEE International Workshop on, vol., no., pp.67,70, 9-9 June 2008 doi: /HST [4] R. Maes, P. Tuyls, and I. Verbauwhede. Intrinsic PUFs from Flip-flops on reconfigurable devices. In Workshop on Information and System Security (WISSec 2008), page 17, Eindhoven,NL,

80 References [5] Simons, P.; van der Sluis, E.; van der Leest, V., "Buskeeper PUFs, a promising alternative to D Flip-Flop PUFs," Hardware-Oriented Security and Trust (HOST), 2012 IEEE International Symposium on, vol., no., pp.7,12, 3-4 June 2012 doi: /HST Ryan Helinski, Dhruva Acharyya, and Jim Plusquellic A physical unclonable function defined using power distribution system equivalent resistance variations. In Proceedings of the 46th Annual Design Automation Conference (DAC '09). ACM, New York, NY, USA, DOI= / Qingqing Chen; Csaba, Gyorgy; Lugli, P.; Schlichtmann, U.; Ruhrmair, U., "The Bistable Ring PUF: A new architecture for strong Physical Unclonable Functions," Hardware-Oriented Security and Trust (HOST), 2011 IEEE International Symposium on, vol., no., pp.134,141, 5-6 June 2011 doi: /HST

Moving PUFs out of the lab

Moving PUFs out of the lab Moving PUFs out of the lab Patrick Schaumont 2/3/2012 Research results by Abhranil Maiti, Jeff Casarona, Luke McHale, Logan McDougall, Vikash Gunreddy, Michael Cantrell What is a Physical Unclonable Function?

More information

www.unique-project.eu Exchange of security-critical data Computing Device generates, stores and processes security-critical information Computing Device 2 However: Cryptographic secrets can be leaked by

More information

IMPROVING THE QUALITY OF A PHYSICAL UNCLONABLE FUNCTION USING CONFIGURABLE RING OSCILLATORS Abhranil Maiti, Patrick Schaumont

IMPROVING THE QUALITY OF A PHYSICAL UNCLONABLE FUNCTION USING CONFIGURABLE RING OSCILLATORS Abhranil Maiti, Patrick Schaumont IMPROVING THE QUALITY OF A PHYSICAL UNCLONABLE FUNCTION USING CONFIGURABLE RING OSCILLATORS Abhranil Maiti, Patrick Schaumont Electrical and Computer Engineering Department Virginia Tech Blacksburg, VA

More information

Soft Response Generation and Thresholding Strategies for Linear and Feed-Forward MUX PUFs

Soft Response Generation and Thresholding Strategies for Linear and Feed-Forward MUX PUFs Soft Response Generation and Thresholding Strategies for Linear and Feed-Forward MUX PUFs Chen Zhou, SarojSatapathy, YingjieLao, KeshabK. Parhiand Chris H. Kim Department of ECE University of Minnesota

More information

FPGA PUF Based on Programmable LUT Delays

FPGA PUF Based on Programmable LUT Delays FPGA PUF Based on Programmable LUT Delays Bilal Habib Kris Gaj Jens-Peter Kaps Cryptographic Engineering Research Group (CERG) http://cryptography.gmu.edu Department of ECE, Volgenau School of Engineering,

More information

Bitline PUF:! Building Native Challenge-Response PUF Capability into Any SRAM. Daniel E. Holcomb Kevin Fu University of Michigan

Bitline PUF:! Building Native Challenge-Response PUF Capability into Any SRAM. Daniel E. Holcomb Kevin Fu University of Michigan Sept 26, 24 Cryptographic Hardware and Embedded Systems Bitline PUF:! Building Native Challenge-Response PUF Capability into Any SRAM Daniel E. Holcomb Kevin Fu University of Michigan Acknowledgment: This

More information

Physical Unclonable Functions (PUFs) and Secure Processors. Srini Devadas Department of EECS and CSAIL Massachusetts Institute of Technology

Physical Unclonable Functions (PUFs) and Secure Processors. Srini Devadas Department of EECS and CSAIL Massachusetts Institute of Technology Physical Unclonable Functions (PUFs) and Secure Processors Srini Devadas Department of EECS and CSAIL Massachusetts Institute of Technology 1 Security Challenges How to securely authenticate devices at

More information

Adapting Voltage Ramp-up Time for Temperature Noise Reduction on Memory-based PUFs

Adapting Voltage Ramp-up Time for Temperature Noise Reduction on Memory-based PUFs Adapting Voltage Ramp-up Time for Temperature Noise Reduction on Memory-based PUFs Mafalda Cortez Said Hamdioui Delft University of Technology Faculty of EE, Mathematics and CS Mekelweg 4, 2628 CD Delft,

More information

Secure and Energy Efficient Physical Unclonable Functions

Secure and Energy Efficient Physical Unclonable Functions University of Massachusetts Amherst ScholarWorks@UMass Amherst Masters Theses 1911 - February 2014 Dissertations and Theses 2012 Secure and Energy Efficient Physical Unclonable Functions Sudheendra Srivathsa

More information

High Reliability PUF using Hot-Carrier Injection Based Response Reinforcement

High Reliability PUF using Hot-Carrier Injection Based Response Reinforcement High Reliability PUF using Hot-Carrier Injection Based Response Reinforcement Mudit Bhargava and Ken Mai Electrical and Computer Engineering Carnegie Mellon University CHES 2013 Key Generation using PUFs

More information

Novel Reconfigurable Silicon Physical Unclonable Functions

Novel Reconfigurable Silicon Physical Unclonable Functions Novel Reconfigurable Silicon Physical Unclonable Functions Yingjie Lao and Keshab K. Parhi epartment of Electrical and Computer Engineering, University of Minnesota, Twin Cities {laoxx25, parhi}@umn.edu

More information

Security Evaluation and Enhancement of Bistable Ring PUFs

Security Evaluation and Enhancement of Bistable Ring PUFs ecurity Evaluation and Enhancement of Bistable ing PUFs FIDec, June 23, 25 Xiaolin Xu (), Ulrich ührmair (2) Daniel Holcomb () and Wayne Burleson () () UMass Amherst (2) HGI, U Bochum This material is

More information

Ultra-lightweight and Reconfigurable Tristate Inverter Based Physical Unclonable Function Design

Ultra-lightweight and Reconfigurable Tristate Inverter Based Physical Unclonable Function Design Ultra-lightweight and Reconfigurable Inverter Based Physical Unclonable Function Design Cui, Y., Gu, C., Wang, C., O'Neill, M., & Liu, W. (2018). Ultra-lightweight and Reconfigurable Inverter Based Physical

More information

PUF RO (RING OSCILLATOR)

PUF RO (RING OSCILLATOR) PUF RO (RING OSCILLATOR) EEC 492/592, CIS 493 Hands-on Experience on Computer System Security Chan Yu Cleveland State University CIRCUIT PUF - PREVIOUS WORK Ravikanth et. al proposed the first PUF in literature

More information

A Physical Unclonable Function based on Capacitor Mismatch in a Charge-Redistribution SAR-ADC

A Physical Unclonable Function based on Capacitor Mismatch in a Charge-Redistribution SAR-ADC A Physical Unclonable Function based on Capacitor Mismatch in a Charge-Redistribution SAR-ADC Qianying Tang, Won Ho Choi, Luke Everson, Keshab K. Parhi and Chris H. Kim University of Minnesota Department

More information

Active and Passive Side-Channel Attacks on Delay Based PUF Designs

Active and Passive Side-Channel Attacks on Delay Based PUF Designs 1 Active and Passive Side-Channel Attacks on Delay Based PUF Designs Georg T. Becker, Raghavan Kumar Abstract Physical Unclonable Functions (PUFs) have emerged as a lightweight alternative to traditional

More information

A PUF Design for Secure FPGA-Based Embedded Systems

A PUF Design for Secure FPGA-Based Embedded Systems A PUF Design for Secure FPGA-Based Embedded Systems Jason H. Anderson Department of Electrical and Computer Engineering University of Toronto Toronto, Ontario, Canada e-mail: janders@eecg.toronto.edu Abstract

More information

Reliable and efficient PUF-based key generation using pattern matching

Reliable and efficient PUF-based key generation using pattern matching Reliable and efficient PUF-based key generation using pattern matching The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As

More information

Dopingless Transistor based Hybrid Oscillator Arbiter Physical Unclonable Function

Dopingless Transistor based Hybrid Oscillator Arbiter Physical Unclonable Function Dopingless Transistor based Hybrid Oscillator Arbiter Physical Unclonable Function V. P. Yanambaka 1, S. P. Mohanty 2, E. Kougianos 3, P. Sundaravadivel 4 and J. Singh 5 NanoSystem Design Laboratory (NSDL,

More information

Performance Metrics and Empirical Results of a PUF Cryptographic Key Generation ASIC

Performance Metrics and Empirical Results of a PUF Cryptographic Key Generation ASIC Performance Metrics and Empirical Results of a PUF Cryptographic Key Generation ASIC The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters.

More information

A PUF Design for Secure FPGA-Based Embedded Systems

A PUF Design for Secure FPGA-Based Embedded Systems A PUF Design for Secure FPGA-Based Embedded Systems author line author line2 author line3 Abstract The concept of having an integrated circuit (IC) generate its own unique digital signature has broad application

More information

SPARKS Smart Grids Week Stakeholder Workshop

SPARKS Smart Grids Week Stakeholder Workshop SPARKS Smart Grids Week Stakeholder Workshop Smart meter (gateway) authentication and key management using hardware PUFs Physical structures are unique every physical object is unique, has a specific fingerprint

More information

SRAM-based Physical Unclonable Functions

SRAM-based Physical Unclonable Functions Feb 26, 25 @ Worcester Polytechnic Institute SRAM-based Physical Unclonable Functions Daniel E. Holcomb UMass Amherst Collaborators for these works: Wayne P Burleson Kevin Fu Amir Rahmati Uli Ruhrmair

More information

Variation Aware Placement for Efficient Key Generation using Physically Unclonable Functions in Reconfigurable Systems

Variation Aware Placement for Efficient Key Generation using Physically Unclonable Functions in Reconfigurable Systems University of Massachusetts Amherst ScholarWorks@UMass Amherst Masters Theses Dissertations and Theses 2016 Variation Aware Placement for Efficient Key Generation using Physically Unclonable Functions

More information

A DRAM based Physical Unclonable Function Capable of Generating >10 32 Challenge Response Pairs per 1Kbit Array for Secure Chip Authentication

A DRAM based Physical Unclonable Function Capable of Generating >10 32 Challenge Response Pairs per 1Kbit Array for Secure Chip Authentication A DRAM based Physical Unclonable Function Capable of Generating >10 32 Challenge Response Pairs per 1Kbit Array for Secure Chip Authentication Q. Tang, C. Zhou, *W. Choi, *G. Kang, *J. Park, K. K. Parhi,

More information

Example. Security of Bistable Ring PUF

Example. Security of Bistable Ring PUF Example Challenge bits select weights, stage index determines signs Response tells whether sum is negative or positive Additive delay model (like Arbiter PUF) t 0 b 1 + t 2 t 3 + b 4 b 5 + t 6 t 7 1 0

More information

Microprocessor Based Physical Unclonable Function

Microprocessor Based Physical Unclonable Function Microprocessor Based Physical Unclonable Function Sudeendra kumar K, Sauvagya Sahoo, Abhishek Mahapatra, Ayas Kanta Swain, K.K.Mahapatra kumar.sudeendra@gmail.com, sauvagya.nitrkl@gmail.com, kmaha2@gmail.com

More information

Physically Unclonable Functions: a Study on the State of the Art and Future Research Directions.

Physically Unclonable Functions: a Study on the State of the Art and Future Research Directions. Physically Unclonable Functions: a Study on the State of the Art and Future Research Directions. Roel Maes, Ingrid Verbauwhede 1 Introduction The idea of using intrinsic random physical features to identify

More information

AFRL-RI-RS-TR

AFRL-RI-RS-TR AFRL-RI-RS-TR-2014-018 IC PIRACY PROTECTION BY APUF AND LOGIC OBFUSCATION RICE UNIVERSITY JANUARY 2014 FINAL TECHNICAL REPORT STINFO COPY AIR FORCE RESEARCH LABORATORY INFORMATION DIRECTORATE AIR FORCE

More information

Reverse Engineering and Prevention Techniques for Physical Unclonable Functions Using Side Channels

Reverse Engineering and Prevention Techniques for Physical Unclonable Functions Using Side Channels Reverse Engineering and Prevention Techniques for Physical Unclonable Functions Using Side Channels Sheng Wei * James B. Wendt * Ani Nahapetian * Miodrag Potkonjak * * University of California, Los Angeles

More information

Physical Unclonable Functions and Applications: A Tutorial

Physical Unclonable Functions and Applications: A Tutorial INVITED PAPER Physical Unclonable Functions and Applications: A Tutorial This paper is a tutorial on ongoing work in physical-disorder-based security, security analysis, and implementation choices. By

More information

The DRAM Latency PUF:

The DRAM Latency PUF: The DRAM Latency PUF: Quickly Evaluating Physical Unclonable Functions by Exploiting the Latency-Reliability Tradeoff in Modern Commodity DRAM Devices Jeremie S. Kim Minesh Patel Hasan Hassan Onur Mutlu

More information

The PUF Promise (Short Paper)

The PUF Promise (Short Paper) The PUF Promise (Short Paper) Heike Busch 1, Miroslava Sotáková 2, Stefan Katzenbeisser 1, and Radu Sion 2 1 Technische Universität Darmstadt 2 Stony Brook University Abstract. Physical Uncloneable Functions

More information

Processor-Based Strong Physical Unclonable Functions with Aging-Based Response Tuning

Processor-Based Strong Physical Unclonable Functions with Aging-Based Response Tuning IEEE TRANSACTIONS ON EMERGING TOPICS IN COMPUTING, VOL. X, NO. X, DECEMBER 213 1 Processor-Based Strong Physical Unclonable Functions with Aging-Based Response Tuning Joonho Kong, Member, IEEE, and Farinaz

More information

How to Generate Repeatable Keys Using Physical Unclonable Functions

How to Generate Repeatable Keys Using Physical Unclonable Functions Noname manuscript No. (will be inserted by the editor) How to Generate Repeatable Keys Using Physical Unclonable Functions Correcting PUF Errors with Iteratively Broadening and Prioritized Search Nathan

More information

Realization of MUX-Based PUF for low power applications

Realization of MUX-Based PUF for low power applications IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 11, Issue 6, Ver. IV (Nov.-Dec.2016), PP 33-43 www.iosrjournals.org Realization of MUX-Based

More information

arxiv: v1 [cs.cr] 26 Jan 2015

arxiv: v1 [cs.cr] 26 Jan 2015 A new Definition and Classification of Physical Unclonable Functions arxiv:1501.06363v1 [cs.cr] 26 Jan 2015 ABSTRACT Rainer Plaga Federal Office for Information Security (BSI) Godesberger Allee 185-189

More information

REPORT DOCUMENTATION PAGE

REPORT DOCUMENTATION PAGE REPORT DOCUMENTATION PAGE Form Approved OMB No. 74-88 The public reporting burden for this collection of information is estimated to average hour per response, including the time for reviewing instructions,

More information

EE115C Spring 2013 Digital Electronic Circuits. Lecture 19: Timing Analysis

EE115C Spring 2013 Digital Electronic Circuits. Lecture 19: Timing Analysis EE115C Spring 2013 Digital Electronic Circuits Lecture 19: Timing Analysis Outline Timing parameters Clock nonidealities (skew and jitter) Impact of Clk skew on timing Impact of Clk jitter on timing Flip-flop-

More information

Intrinsic Rowhammer PUFs: Leveraging the Rowhammer Effect for Improved Security

Intrinsic Rowhammer PUFs: Leveraging the Rowhammer Effect for Improved Security Intrinsic Rowhammer PUFs: Leveraging the Rowhammer Effect for Improved Security André Schaller, Wenjie Xiong, Nikolaos Athanasios Anagnostopoulos, Muhammad Umair Saleem, Sebastian Gabmeyer, Stefan Katzenbeisser

More information

Robust and Reverse-Engineering Resilient PUF Authentication and Key-Exchange by Substring Matching

Robust and Reverse-Engineering Resilient PUF Authentication and Key-Exchange by Substring Matching Received 10 May 2013; revised 9 October 2013; accepted 22 December 2013. Date of publication xx xxx xxxx; date of current version xx xxx xxxx. Digital Object Identifier 10.1109/TETC.2014.2300635 Robust

More information

Soft Response Generation and Thresholding Strategies for Linear and Feed-Forward MUX PUFs

Soft Response Generation and Thresholding Strategies for Linear and Feed-Forward MUX PUFs Soft esponse Generation and Thresholding Strategies for Linear and Feed-Forward MUX PUFs Chen Zhou, Saroj Satapathy, Yingjie Lao, Keshab K. Parhi and Chris H. Kim Department of ECE, University of Minnesota,

More information

PHYSICAL UNCLONABLE FUNCTION HARDWARE KEYS UTILIZING KIRCHHOFF-LAW-JOHNSON-NOISE SECURE KEY EXCHANGE AND NOISE-BASED LOGIC

PHYSICAL UNCLONABLE FUNCTION HARDWARE KEYS UTILIZING KIRCHHOFF-LAW-JOHNSON-NOISE SECURE KEY EXCHANGE AND NOISE-BASED LOGIC Fluctuation and Noise Letters Vol. 12, No. 3 (2013) 1350018 (11 pages) c World Scientific Publishing Company DOI: 10.1142/S0219477513500181 PHYSICAL UNCLONABLE FUNCTION HARDWARE KEYS UTILIZING KIRCHHOFF-LAW-JOHNSON-NOISE

More information

RRAM-based PUF: Design and Applications in Cryptography. Ayush Shrivastava

RRAM-based PUF: Design and Applications in Cryptography. Ayush Shrivastava RRAM-based PUF: Design and Applications in Cryptography by Ayush Shrivastava A Thesis Presented in Partial Fulfillment of the Requirements for the Degree Master of Science Approved July 2015 by the Graduate

More information

Statistical Static Timing Analysis: How simple can we get?

Statistical Static Timing Analysis: How simple can we get? Statistical Static Timing Analysis: How simple can we get? Chirayu Amin, Noel Menezes *, Kip Killpack *, Florentin Dartu *, Umakanta Choudhury *, Nagib Hakim *, Yehea Ismail ECE Department Northwestern

More information

Robust and Reverse-Engineering Resilient PUF Authentication and Key-Exchange by Substring Matching

Robust and Reverse-Engineering Resilient PUF Authentication and Key-Exchange by Substring Matching .9/TETC.24.23635, IEEE Transactions on Emerging Topics in Computing Robust and Reverse-Engineering Resilient PUF Authentication and Key-Exchange by Substring Matching Masoud Rostami, Mehrdad Majzoobi,

More information

A Heuristic Method for Statistical Digital Circuit Sizing

A Heuristic Method for Statistical Digital Circuit Sizing A Heuristic Method for Statistical Digital Circuit Sizing Stephen Boyd Seung-Jean Kim Dinesh Patil Mark Horowitz Microlithography 06 2/23/06 Statistical variation in digital circuits growing in importance

More information

PUF Modeling Attacks: An Introduction and Overview

PUF Modeling Attacks: An Introduction and Overview PUF Modeling Attacks: An Introduction and Overview Ulrich Rührmair Technische Universität München 80333 München, Germany E-mail: ruehrmair@ilo.de Jan Sölter Freie Universität Berlin 14195 Berlin, Germany

More information

1 The ECN module. Note

1 The ECN module. Note Version 1.11.0 NOVA ECN tutorial 1 The ECN module The ECN is an optional module for the Autolab PGSTAT. The ECN module provides the means to perform Electrochemical Noise measurements (ECN). Electrochemical

More information

Lightweight and Secure PUF Key Storage Using Limits of Machine Learning

Lightweight and Secure PUF Key Storage Using Limits of Machine Learning Lightweight and Secure PUF Key Storage Using Limits of Machine Learning Meng-Day (Mandel) Yu 1, David M Raihi 1, Richard Sowell 1, and Srinivas Devadas 2 1 Verayo Inc., San Jose, CA, USA {myu,david,rsowell}@verayo.com

More information

Fig. 1. Min-Max Timing Simulation 1, 3 1, 2 1, 2 1, , 3 3, 4

Fig. 1. Min-Max Timing Simulation 1, 3 1, 2 1, 2 1, , 3 3, 4 2009 27th IEEE VLSI Test Symposium Output Hazard-Free Transition Delay Fault Test Generation Sreekumar Menon 1, Adit D. Singh 2, Vishwani Agrawal 2 1 Advanced Micro Devices 7171 Southwest Parkway Austin,

More information

High throughput implementation of the new Secure Hash Algorithm through partial unrolling

High throughput implementation of the new Secure Hash Algorithm through partial unrolling High throughput implementation of the new Secure Hash Algorithm through partial unrolling Konstantinos Aisopos Athanasios P. Kakarountas Haralambos Michail Costas E. Goutis Dpt. of Electrical and Computer

More information

Lecture 8: Skew Tolerant Design (including Dynamic Circuit Issues)

Lecture 8: Skew Tolerant Design (including Dynamic Circuit Issues) Lecture 8: Skew Tolerant Design (including Dynamic Circuit Issues) Computer Systems Laboratory Stanford University horowitz@stanford.edu Copyright 2007 by Mark Horowitz w/ material from David Harris 1

More information

Protocol Attacks on Advanced PUF Protocols and Countermeasures

Protocol Attacks on Advanced PUF Protocols and Countermeasures Protocol Attacks on Advanced PUF Protocols and Countermeasures Marten van Dijk University of Connecticut Storrs, CT 06269, USA E-mail: vandijk@engr.uconn.edu Ulrich Rührmair Technische Universität München

More information

Reconfigurable Acceleration for Monte Carlo based Financial Simulation

Reconfigurable Acceleration for Monte Carlo based Financial Simulation Reconfigurable Acceleration for Monte Carlo based Financial Simulation G.L. Zhang, P.H.W. Leong, C.H. Ho, K.H. Tsoi, C.C.C. Cheung*, D. Lee**, Ray C.C. Cheung*** and W. Luk*** The Chinese University of

More information

QQ PLOT Yunsi Wang, Tyler Steele, Eva Zhang Spring 2016

QQ PLOT Yunsi Wang, Tyler Steele, Eva Zhang Spring 2016 QQ PLOT INTERPRETATION: Quantiles: QQ PLOT Yunsi Wang, Tyler Steele, Eva Zhang Spring 2016 The quantiles are values dividing a probability distribution into equal intervals, with every interval having

More information

The good, the bad and the statistical

The good, the bad and the statistical The good, the bad and the statistical Noel Menezes Strategic CAD Labs Design and Technology Solutions Intel Corp. Acknowledgements Keith Bowman Yossi Abulafia Steve Burns Mahesh Ketkar Vivek De Jim Tschanz

More information

PUF-Based UC-Secure Commitment without Fuzzy Extractor

PUF-Based UC-Secure Commitment without Fuzzy Extractor PUF-Based UC-Secure Commitment without Fuzzy Extractor Huanzhong Huang Department of Computer Science, Brown University Joint work with Feng-Hao Liu Advisor: Anna Lysyanskaya May 1, 2013 Abstract Cryptographic

More information

Application of Importance Sampling using Contaminated Normal Distribution to Multidimensional Variation Analysis

Application of Importance Sampling using Contaminated Normal Distribution to Multidimensional Variation Analysis 1, 2 1 3, 4 1 3 1 Monte Carlo g(x) g(x) g(x) g(x) g(x) / 6-24 SRAM Monte Carlo 2 5 Application of Importance Sampling using Contaminated Normal Distribution to Multidimensional Variation Analysis Shiho

More information

Indoor Measurement And Propagation Prediction Of WLAN At

Indoor Measurement And Propagation Prediction Of WLAN At Indoor Measurement And Propagation Prediction Of WLAN At.4GHz Oguejiofor O. S, Aniedu A. N, Ejiofor H. C, Oechuwu G. N Department of Electronic and Computer Engineering, Nnamdi Aziiwe University, Awa Abstract

More information

THE PROXIMITY OF MICROVIAS TO PTHs AND ITS IMPACT ON THE RELIABILITY

THE PROXIMITY OF MICROVIAS TO PTHs AND ITS IMPACT ON THE RELIABILITY THE PROXIMITY OF MICROVIAS TO s AND ITS IMPACT ON THE RELIABILITY Anthony Primavera Manager - CSP Consortium Universal Instruments Corporation Binghamton, New York 13902-0825. Jaydutt Joshi Package Development

More information

Design of a Financial Application Driven Multivariate Gaussian Random Number Generator for an FPGA

Design of a Financial Application Driven Multivariate Gaussian Random Number Generator for an FPGA Design of a Financial Application Driven Multivariate Gaussian Random Number Generator for an FPGA Chalermpol Saiprasert, Christos-Savvas Bouganis and George A. Constantinides Department of Electrical

More information

Lecture 8: Skew Tolerant Domino Clocking

Lecture 8: Skew Tolerant Domino Clocking Lecture 8: Skew Tolerant Domino Clocking Computer Systems Laboratory Stanford University horowitz@stanford.edu Copyright 2001 by Mark Horowitz (Original Slides from David Harris) 1 Introduction Domino

More information

STOCHASTIC COST ESTIMATION AND RISK ANALYSIS IN MANAGING SOFTWARE PROJECTS

STOCHASTIC COST ESTIMATION AND RISK ANALYSIS IN MANAGING SOFTWARE PROJECTS STOCHASTIC COST ESTIMATION AND RISK ANALYSIS IN MANAGING SOFTWARE PROJECTS Dr A.M. Connor Software Engineering Research Lab Auckland University of Technology Auckland, New Zealand andrew.connor@aut.ac.nz

More information

Load Test Report. Moscow Exchange Trading & Clearing Systems. 07 October Contents. Testing objectives... 2 Main results... 2

Load Test Report. Moscow Exchange Trading & Clearing Systems. 07 October Contents. Testing objectives... 2 Main results... 2 Load Test Report Moscow Exchange Trading & Clearing Systems 07 October 2017 Contents Testing objectives... 2 Main results... 2 The Equity & Bond Market trading and clearing system... 2 The FX Market trading

More information

PUF Design - User Interface

PUF Design - User Interface PUF Design - User Interface September 27, 2011 1 Introduction Design an efficient Physical Unclonable Functions (PUF): PUFs are low-cost security primitives required to protect intellectual properties

More information

Application-Based Performance and Power Analysis on Dynamically Reconfigurable Processor

Application-Based Performance and Power Analysis on Dynamically Reconfigurable Processor 223 8522 3-4- E-mail: drp@am.ics.keio.ac.jp NEC Dynamically Reconfigurable Processor (DRP) DRP, Abstract Application-Based Performance and Power Analysis on Dynamically Reconfigurable Processor Yohei HASEGAWA,

More information

Color Pay : Next Paradigm for Instant Payment

Color Pay : Next Paradigm for Instant Payment Color Pay : Next Paradigm for Instant Payment Table of Contents Table of Contents 2 Abstract 2 What is PUF? 3 Overview of PUF 3 Architecture of PUF Chip 3 Internals of PUF Chip 4 External Interfaces of

More information

THE PROXIMITY OF MICROVIAS TO PTHs AND ITS IMPACT ON THE RELIABILITY OF THESE MICROVIAS

THE PROXIMITY OF MICROVIAS TO PTHs AND ITS IMPACT ON THE RELIABILITY OF THESE MICROVIAS THE PROXIMITY OF MICROVIAS TO PTHs AND ITS IMPACT ON THE RELIABILITY OF THESE MICROVIAS Jaydutt Joshi Principle Program Manager RF Module Technology Development Skyworks Solutions, Inc. Irvine, California

More information

STOCHASTIC COST ESTIMATION AND RISK ANALYSIS IN MANAGING SOFTWARE PROJECTS

STOCHASTIC COST ESTIMATION AND RISK ANALYSIS IN MANAGING SOFTWARE PROJECTS Full citation: Connor, A.M., & MacDonell, S.G. (25) Stochastic cost estimation and risk analysis in managing software projects, in Proceedings of the ISCA 14th International Conference on Intelligent and

More information

Practice 10: Ratioed Logic

Practice 10: Ratioed Logic Practice 0: Ratioed Logic Digital Electronic Circuits Semester A 0 Ratioed vs. Non-Ratioed Standard CMOS is a non-ratioed logic family, because: The logic function will be correctly implemented regardless

More information

Seasonal Pathloss Modeling at 900MHz for OMAN

Seasonal Pathloss Modeling at 900MHz for OMAN 2011 International Conference on Telecommunication Technology and Applications Proc.of CSIT vol.5 (2011) (2011) IACSIT Press, Singapore Seasonal Pathloss Modeling at 900MHz for OMAN Zia Nadir + Electrical

More information

TOSHIBA Transistor Silicon NPN Epitaxial Type TMBT3904

TOSHIBA Transistor Silicon NPN Epitaxial Type TMBT3904 TOSHIBA Transistor Silicon NPN Epitaxial Type TMBT3904 Audio Frequency General Purpose Amplifier Applications High voltage and high current : VCEO = 50 V, IC = 150 ma (max) Complementary to TMBT3906 Absolute

More information

Designing with an Inverted-F PCB Antenna

Designing with an Inverted-F PCB Antenna Page 1 Application Note 5052 24 April 2008 Designing with an Inverted-F PCB Antenna For the EM250 and EM2 Platforms This document describes an Inverted-F PCB antenna designed by Ember for use with both

More information

Antino Kim Kelley School of Business, Indiana University, Bloomington Bloomington, IN 47405, U.S.A.

Antino Kim Kelley School of Business, Indiana University, Bloomington Bloomington, IN 47405, U.S.A. THE INVISIBLE HAND OF PIRACY: AN ECONOMIC ANALYSIS OF THE INFORMATION-GOODS SUPPLY CHAIN Antino Kim Kelley School of Business, Indiana University, Bloomington Bloomington, IN 47405, U.S.A. {antino@iu.edu}

More information

Practical SAT Solving

Practical SAT Solving Practical SAT Solving Lecture 1 Carsten Sinz, Tomáš Balyo April 18, 2016 NSTITUTE FOR THEORETICAL COMPUTER SCIENCE KIT University of the State of Baden-Wuerttemberg and National Laboratory of the Helmholtz

More information

Fitting financial time series returns distributions: a mixture normality approach

Fitting financial time series returns distributions: a mixture normality approach Fitting financial time series returns distributions: a mixture normality approach Riccardo Bramante and Diego Zappa * Abstract Value at Risk has emerged as a useful tool to risk management. A relevant

More information

Financial Risk Modeling on Low-power Accelerators: Experimental Performance Evaluation of TK1 with FPGA

Financial Risk Modeling on Low-power Accelerators: Experimental Performance Evaluation of TK1 with FPGA Financial Risk Modeling on Low-power Accelerators: Experimental Performance Evaluation of TK1 with FPGA Rajesh Bordawekar and Daniel Beece IBM T. J. Watson Research Center 3/17/2015 2014 IBM Corporation

More information

Delay Budgeting in Sequential Circuit with Application on FPGA Placement

Delay Budgeting in Sequential Circuit with Application on FPGA Placement 13.2 Delay Budgeting in Sequential Circuit with Application on FPGA Placement Chao-Yang Yeh and Malgorzata Marek-Sadowska Department of Electrical and Computer Engineering, University of California, Santa

More information

Creating short-term stockmarket trading strategies using Artificial Neural Networks: A Case Study

Creating short-term stockmarket trading strategies using Artificial Neural Networks: A Case Study Bond University epublications@bond Information Technology papers School of Information Technology 9-7-2008 Creating short-term stockmarket trading strategies using Artificial Neural Networks: A Case Study

More information

Barrier Option. 2 of 33 3/13/2014

Barrier Option. 2 of 33 3/13/2014 FPGA-based Reconfigurable Computing for Pricing Multi-Asset Barrier Options RAHUL SRIDHARAN, GEORGE COOKE, KENNETH HILL, HERMAN LAM, ALAN GEORGE, SAAHPC '12, PROCEEDINGS OF THE 2012 SYMPOSIUM ON APPLICATION

More information

3.6V / 2600mAh Primary Lithium x 0.85 (60mm x 21mm) 1.0 oz (28 gr) -30 C to +77 C. Bluetooth Low Energy dBm. +5dBm. 1Mbit/s / 2Mbit/s*

3.6V / 2600mAh Primary Lithium x 0.85 (60mm x 21mm) 1.0 oz (28 gr) -30 C to +77 C. Bluetooth Low Energy dBm. +5dBm. 1Mbit/s / 2Mbit/s* SPECIFICATION SHEET BEEKs Industrial VER 1.6 HARDWARE SPECIFICATION Battery Size Weight Temperature Range Bluetooth Type Bluetooth Sensitivity Bluetooth Max Power Output Bluetooth Antenna Frequency Supported

More information

2 4 1 Revenue Information by Product Groups. 4 2 Revenue by Geographic Region. 7 4 Revenue and Contract Duration

2 4 1 Revenue Information by Product Groups. 4 2 Revenue by Geographic Region. 7 4 Revenue and Contract Duration To enhance the level of disclosure we provide and help investors gain better insight into our business, we are providing investors the following financial information: Page Table Description 2 4 1 Revenue

More information

SAFETY COMMAND DEVICES

SAFETY COMMAND DEVICES AFY COMMAND DVIC 00 -top ope witch he 00 -top ope witch series is used with preference with expansive points of operation. Its simple operation ensures fast stop command output along the point of operation.

More information

Secure Two-party Threshold ECDSA from ECDSA Assumptions. Jack Doerner, Yashvanth Kondi, Eysa Lee, and abhi shelat Northeastern University

Secure Two-party Threshold ECDSA from ECDSA Assumptions. Jack Doerner, Yashvanth Kondi, Eysa Lee, and abhi shelat Northeastern University Secure Two-party Threshold ECDSA from ECDSA Assumptions Jack Doerner, Yashvanth Kondi, Eysa Lee, and abhi shelat Northeastern University Elliptic Curve Digital Signature Algorithm Digital Signature Algorithm

More information

Lecture 20: Sequential Circuits. Sequencing

Lecture 20: Sequential Circuits. Sequencing Lecture 20: Sequential Circuits Sequencing Elements Simple /FF Timing efinitions Source: Ch 7 (W&H) Sequencing Use flip-flops to delay fast tokens so they move through exactly one stage each cycle. Inevitably

More information

Insider Trading and Innovation

Insider Trading and Innovation Insider Trading and Innovation Ross Levine, Chen Lin and Lai Wei Hoover IP 2 Conference Stanford University January 12, 2016 Levine, Lin, Wei Insider Trading and Innovation 1/17/2016 1 Motivation and Question

More information

Energy Web Foundation blockchain and digital security in energy. OECD workshop, 15 February 2018

Energy Web Foundation blockchain and digital security in energy. OECD workshop, 15 February 2018 Energy Web Foundation blockchain and digital security in energy OECD workshop, 15 February 2018 Agenda 1 What 2 is EWF? Blockchain and digital security in energy 3 The EWF Blockchain Platform: functionality

More information

Weighted Earliest Deadline Scheduling and Its Analytical Solution for Admission Control in a Wireless Emergency Network

Weighted Earliest Deadline Scheduling and Its Analytical Solution for Admission Control in a Wireless Emergency Network Weighted Earliest Deadline Scheduling and Its Analytical Solution for Admission Control in a Wireless Emergency Network Jiazhen Zhou and Cory Beard Department of Computer Science/Electrical Engineering

More information

2007 Investor Meeting

2007 Investor Meeting 2007 Investor Meeting December 11 th, 2007 Altera, Stratix, Cyclone, MAX, HardCopy, Arria, HardCopy, Nios, Quartus, Nios, Quartus, and MegaCore and MegaCore are trademarks are trademarks of Altera of Altera

More information

Computational Independence

Computational Independence Computational Independence Björn Fay mail@bfay.de December 20, 2014 Abstract We will introduce different notions of independence, especially computational independence (or more precise independence by

More information

Efficient Implementation of Lattice-based Cryptography for Embedded Devices

Efficient Implementation of Lattice-based Cryptography for Embedded Devices Efficient Implementation of Lattice-based Cryptography for Embedded Devices Tobias Oder Ruhr-University Bochum Workshop on Cryptography for the Internet of Things and Cloud 2017 09.11.2017 Lattice-based

More information

Bidding Languages. Noam Nissan. October 18, Shahram Esmaeilsabzali. Presenter:

Bidding Languages. Noam Nissan. October 18, Shahram Esmaeilsabzali. Presenter: Bidding Languages Noam Nissan October 18, 2004 Presenter: Shahram Esmaeilsabzali Outline 1 Outline The Problem 1 Outline The Problem Some Bidding Languages(OR, XOR, and etc) 1 Outline The Problem Some

More information

CHAPTER-3 DETRENDED FLUCTUATION ANALYSIS OF FINANCIAL TIME SERIES

CHAPTER-3 DETRENDED FLUCTUATION ANALYSIS OF FINANCIAL TIME SERIES 41 CHAPTER-3 DETRENDED FLUCTUATION ANALYSIS OF FINANCIAL TIME SERIES 4 3.1 Introduction Detrended Fluctuation Analysis (DFA) has been established as an important tool for the detection of long range autocorrelations

More information

Path Loss Models and Link Budget

Path Loss Models and Link Budget Path Loss Models and Link Budget A universal path loss model P r dbm = P t dbm + db Gains db Losses Gains: the antenna gains compared to isotropic antennas Transmitter antenna gain Receiver antenna gain

More information

Dynamic vs. static decision strategies in adversarial reasoning

Dynamic vs. static decision strategies in adversarial reasoning Dynamic vs. static decision strategies in adversarial reasoning David A. Pelta 1 Ronald R. Yager 2 1. Models of Decision and Optimization Research Group Department of Computer Science and A.I., University

More information

Secure Payment Transactions based on the Public Bankcard Ledger! Author: Sead Muftic BIX System Corporation

Secure Payment Transactions based on the Public Bankcard Ledger! Author: Sead Muftic BIX System Corporation Secure Payment Transactions based on the Public Bankcard Ledger! Author: Sead Muftic BIX System Corporation sead.muftic@bixsystem.com USPTO Patent Application No: 15/180,014 Submission date: June 11, 2016!

More information

Empirical Distribution Testing of Economic Scenario Generators

Empirical Distribution Testing of Economic Scenario Generators 1/27 Empirical Distribution Testing of Economic Scenario Generators Gary Venter University of New South Wales 2/27 STATISTICAL CONCEPTUAL BACKGROUND "All models are wrong but some are useful"; George Box

More information

Eliminating the Error Floor for LDPC with NAND Flash

Eliminating the Error Floor for LDPC with NAND Flash Eliminating the Error Floor for LDPC with NAND Flash Shafa Dahandeh, Guangming Lu, Chris Gollnick NGD Systems Aug. 8 18 1 Agenda 3D TLC & QLC NAND Error Characteristics Program/Erase Cycling (Endurance)

More information

Essential Question: What is a probability distribution for a discrete random variable, and how can it be displayed?

Essential Question: What is a probability distribution for a discrete random variable, and how can it be displayed? COMMON CORE N 3 Locker LESSON Distributions Common Core Math Standards The student is expected to: COMMON CORE S-IC.A. Decide if a specified model is consistent with results from a given data-generating

More information