Tokyo Electron Corporate Update

Size: px
Start display at page:

Download "Tokyo Electron Corporate Update"

Transcription

1 Tokyo Electron Corporate Update May 11, 218 1

2 Contents 1. TEL Overview 2. Medium-term Management Plan TEL Initiatives and Progress 3. FY219 Business Environment and Financial Estimates Appendix 1: Etching System Appendix 2: Effects of EUV Lithography Adoption Appendix 3: FPD Production Equipment Appendix 4: Financial Data

3 TEL Overview 3

4 TEL is Innovative and Flexible to Market Change (Billion Yen) 1,5 1,2 Distributor of other suppliers products TEL consolidated net sales Established development/ manufacturing functions Globalization Production reform Striving for new growth (FY) (E) Established first manufacturing JV (TEL-Thermco) Discontinued export business of consumer products Listed on TSE #2 in198 and #1 in 1984 Began overseas direct operations Strengthened corporate governance Semiconductor applications Mainframe computer PC Mobile phone Consumer electronics, etc. 4

5 Financial Performance: Sales and Operating Margin (Billion Yen) 1,5 TEL Net sales 1,4. 5% 1,2 Operating income Operating margin 1,13.7 4% % % % 26.1% % % % 17.6% 3% 2% 3 5.3% 2.5% 1% -3 % -4.4% -.5% -1% (FY) (E) 4M DRAM oversupply Financial crisis in Asia 64M DRAM oversupply IT bubble crash Excessive logic foundry investment World financial crisis Memory oversupply Effects of European debt crisis, slowdown in emerging markets Weak demand for PC, mobile 5

6 The Market TEL Participates in Electronic System CY216 World Market US$ 1,742B FPD Production Equipment (FPD) FY218 TEL Sales (April 217-March 218) 75.B, 7% Others.4B, % Semiconductor US$ 343B 1,13.7B WFE * CAPEX US$ 37B 1,55.2B, 93% Semiconductor Production Equipment (SPE) *The semiconductor production process can be divided into two sequential subprocesses: front-end (wafer fabrication) and back-end (assembly and test) production. WFE is used in the front-end production process. Front-end production equipment includes equipment for wafer level packaging. Source: Gartner, Forecast: Semiconductor Wafer Fab Manufacturing Equipment, Worldwide, 1Q17 Update 13 April 217 Charts/graphics created by Tokyo Electron based on Gartner research. 6

7 TEL Main Products World Market Share (CY217) Semiconductor Production Equipment 26% 36% 25% 87% Coater/Developer Dry Etch System Deposition System Cleaning System FPD Production Equipment (FY218) 31% 38% 42% 19% 71% ALD CVD Oxidation/Diffusion FPD Coater/Developer Source (FPD): TEL survey FPD Etcher/Asher Source (SPE): Gartner, Market Share: Semiconductor Wafer Fab Equipment, Worldwide, 217, 18 April 218, Charts/graphics created by Tokyo Electron based on Gartner research. Coater/Developer: Photoresist processing (Track), Dry Etch System: Dry etch, Deposition System: Tube CVD + Atomic layer deposition tools + Oxidation/diffusion furnaces + Nontube LPCVD, ALD: Atomic layer deposition tools, CVD: Tube CVD + Nontube LPCVD, Oxidation/Diffusion: Oxidation/diffusion, Cleaning System: Wet stations + Spray processors + Other clean process 7

8 World Top 1 SPE Makers CY216 Revenue Ranking Applied Materials (Billions of US$) 9.87 ASML 7.33 Lam Research Tokyo Electron KLA-Tencor 3.19 SCREEN Advantest Teradyne Hitachi High-Technologies ASM Pacific Technology Source; The Chip Insider Equipment & Emerging Markets (VLSI Research, May 217) Exchange Rates: 1US$=

9 Medium-term Management Plan TEL Initiatives and Progress 9

10 Wafer Fab Equipment (WFE) Market Outlook Future growth drivers PC, internet, mobile + VR/AR/MR *, IoT, AI, RPA **, machine learning, big data, autonomous driving, blockchain * VR/AR/MR: Virtual reality/augmented reality/mixed reality ** RPA: Robotic process automation ($ Billion) WFE market growth accelerating. Investment expanding to $5B+ CY'13 CY'14 CY'15 CY'16 CY'17 CY'18 Equipment market is moving to the next phase amid expanding applications for semiconductors Source: VLSI Wafer Fab Equipment (WFE) Market History and Forecast April 218. Graph created by TEL using above data 1 Equipment for wafer-level packaging is not included in the market size here.

11 SPE Business Strategy: Etching System Achieving success in DRAM as planned. Continue to Focus on 3D NAND and Logic DRAM Capacitor processes (HARC) Interconnects Interconnects Capacitor 3D NAND HARC * processes Improve our position in 9X/12X through our clear lead in productivity and etch profile CY 17 CY 18 CY 19 6X 6X/9X 9X Logic Maintain high interconnecting dielectric process market share Enhance our position in 7nm and finer advanced patterning Lower customer patterning costs through combining multiple steps into one Simplify processes Word line isolation (Slit) Channel (Memory hole) Multi-level contact Interconnects FinFET Market share CY 15 (Actual) CY 16 (Actual) CY 17 (Actual) CY 19 (Target) Etching System 21% 23% 26% >3% * HARC (High aspect ratio contact) process: a process for forming holes that requires advanced processing technology 11

12 SPE Business Strategy: Deposition System Aim to expand earnings based on new technologies for further miniaturization and next-generation semiconductors ALD system Achieve both high quality film formation and high productivity needed for miniaturization and for 3D structure with semi-batch system ALD system market CAGR >1% 15.B CVD system Differentiate in memory through our clear lead in batch system productivity Achieve high quality metallization to enable further miniaturization 9.B Semi-batch ALD system NT Source: TEL estimate Batch system TELINDY PLUS Metallization system Triase+ Market share CY 15 (Actual) CY 16 (Actual) CY 17 (Actual) CY 19 (Target) Deposition system 38% 37% 36% >47% Source: Gartner, Market Share: Semiconductor Wafer Fab Equipment, Worldwide, 216, 3 March 217, Charts/graphics created by Tokyo Electron based on Gartner research. Deposition System: Tube CVD + Atomic layer deposition tools + Oxidation/diffusion furnaces + Nontube LPCVD 12

13 SPE Business Strategy: Cleaning Systems Expand sales of CELLESTA single wafer cleaning system Expand applications based on backside and bevel cleaning * and drying technology that prevents pattern collapse during the post-etch cleaning processes Secure key 3D NAND processes through batch cleaning Provide high quality and productivity in the metal etching, polysilicon etching, and nitride film removal processes required for precise controllability Apply best known coater/developers methods to cleaning system business Share leading-edge technology and expertise by unifying R&D Single wafer cleaning system CELLESTA Batch cleaning system EXPEDIUS TM Market share CY 15 (Actual) CY 16 (Actual) CY 17 (Actual) CY 19 (Target) Cleaning system 18% 2% 25% >24% * Bevel cleaning: process for removing film from the outer part of the wafer 13

14 Field Solutions (FS) Business: Medium-term Plan Field Solutions sales FS business strategy FY'15 FY'16 FY'17 FY'18 WFE$45B 32.B FY 2 (Medium-term plan) Used equipment and modification Parts and services Respond to new customer needs driven by IoT Provide upgrades and remanufactured equipment that handle new applications Contribute to improving customer productivity Provide added-value services using remote connections (Installed base of 62, units) Increase earnings in both the used equipment/modification and parts/service segments through a business model that utilizes makers strengths 14

15 Financial Model (toward FY22) FY218 (Actual) FY219 (Estimate) FY22 (Medium-term plan) WFE $51B WFE $58B WFE $42B WFE $45B Net sales 1,13.7 1,4. 1,5. 1,2. SPE 1,55.2 1, ,12. FPD Gross profit Gross profit margin SG&A expenses SG&A expense ratio Operating income Operating margin Net income attributable to owners of parent % % % % % % % % % (Billion Yen) % % %

16 FY219 Business Environment and Financial Estimates (FY219: April 1, 218-March 31, 219) 16

17 Business Environment (Outlook as of April 218) WFE * capex CY218 investment driven by buoyant demand for memory, expect YoY growth of approx. 15% FPD production equipment capex for TFT array process ** We expect CY218 investment in production equipment to increase approx. 1% YoY despite adjustments to investment in small/medium panels for mobile devices, with demand driven by significantly expanded investment in G1.5 on demand for large panels Accelerating growth in the equipment market on active investment in IoT and next generation technologies * WFE (Wafer fab equipment): The semiconductor production process is divided into front-end production, in which circuits are formed on wafers and inspected, and back-end production, in which wafers are cut into chips, assembled and inspected again. Wafer fab equipment refers to the production equipment used in front-end production and in wafer-level packaging production. ** TFT array process: The processes of manufacturing the substrates with the electric circuit functions that drive displays 17

18 CY 18 WFE Market Growth and Business Opportunities by Application DRAM: Market growth of 6% YoY forecast Capex: 7% of investment amount to be for new factories, and 1X/1Ynm generation to comprise 8% Driving force: Average server capacity up 35% Opportunities: Differentiation through combined patterning and our strength in interconnects Non-volatile memory: Same level forecast Capex: 9X generations to comprise 5% Driving force: Growth in SSD demand for data centers and PCs Opportunities: Differentiation through high value-added etch and clean WFE market by application* $58B $51B WLP DRAM Logic/Foundry: Same level forecast Capex: 1nm and beyond generation to comprise 5% Driving force: Demand for higher performance, lower power consumption Opportunities: Business expansion in more complex patterning processes CY'17 Non-volatile memory Logic foundry Logic & others CY'18 * TEL estimate 18

19 Drivers of Investment in NAND Flash Breakdown of NAND bit demand by application *Ratios on graph are in comparison with total bit demand, which is 1 SSD bit growth CAGR 5.9% 4% 4% 43% 29% 38% 35% 49% 3% 51% 3% CY'17(E) CY'18(E) CY'19(E) CY'2(E) CY'21(E) Others SSD Mobile Source: Gartner, Forecast: NAND Flash Supply and Demand, Worldwide, 1Q16-4Q18, 4Q17 Update, 2 January 218. Charts created by Tokyo Electron based on Gartner research. Mobile: Ultramobile + Phone, Basic, Smart OS + Phone, Premium, Smart OS + Phone, Utility, Smart OS, CAGR: CY 17-CY 21 NAND bit growth driven by SSD SSD CAGR 5.9%(CY 17-21) Mobile CAGR 32.%(CY 17-21) Rapid increase in demand for server capacity *Capacity is aggregate of SSD and HDD CY 17 3EB CY 21 1ZB Big potential for growth in SSD usage Only used in 9% of servers in CY 17 EB: 1 18 byte, ZB: 1 21 byte Usage in PCs also low at 39% in CY 17 Source: Gartner, Forecast: Hard-Disk Drives, Worldwide, , 3Q17 Update, 13 November 217. Server: Total Enterprise HDD/SSD PB, PC: Total Notebook/Ultramobile Premium and Desk-Based/Workstation PCs Investment driven by rapid increase in storage capacity demand through IoT and increase in SSD usage 19

20 FY218 (April 217-March 218) Highlights 1, % Net Sales and Gross Profit Margin Net sales ( B) Gross profit margin 42.% 39.6% 4.2% 4.3% ,13.7 FY'14 FY'15 FY'16 FY'17 FY' Operating Income and Operating Margin 5.3% 32.2 Operating income ( B) Operating margin 14.4% % 19.5% Net sales +41% YoY driven by increase in SPE* demand and expansion of market share in focus areas Operating income and net income attributable to owners of parent reached new record highs * SPE: Semiconductor production equipment % FY'14 FY'15 FY'16 FY'17 FY'18 3.% 25.% 2.% 15.% 1.% 5.%.% Net Income Attributable to Owners of Parent and ROE 29.% -3.3% Net income attributable to owners of parent ( B) ROE % 13.% % FY'14 FY'15 FY'16 FY'17 FY'18

21 FY219 Financial Estimates FY218 (Actual) FY219 (Estimates) H1 H2 Full year (Billion yen) Full year YoY change Net sales 1, , % SPE 1, , % FPD % Gross profit Gross profit margin % % % % pts SG&A expenses Operating income Operating margin % % % % pts Income before income taxes Net income attributable to owners of parent Net income per share (Yen) 1, , Expect sales growth to exceed market growth, generating record high profits for third consecutive year SPE: Semiconductor production equipment, FPD: Flat panel display production equipment 21

22 FY219 SPE Division New Equipment Sales Forecast (Billion yen) DRAM Non-volatile memory % 37% 11% 3% FY'18 H1 (Actual) Sales by application Logic foundry Logic & others % 43% 1% 22% FY'18 H2 (Actual) % 39% 1% 16% FY'19 H1 (Estimates) 32% 32% 12% 24% FY'19 H2 (Estimates) DRAM investment growth driven by tight supply 3D NAND Continued strong investment on sustained SSD demand for data centers and PCs Logic/Foundry Continued investment in 1/7nm generations Also firm investment in 28nm and prior generations Percentages on the graph show the composition ratio of new equipment sales. Field solutions sales are not included. 22

23 FY219 R&D Expenses, Capex Plan R&D Expenses 12.B Continue investing based on focus areas and sustainable growth Capex 51.B Actively invest in advanced technologies R&D and to meet increasing production Depreciation 26.B New production buildings (deposition systems, gas chemical etch systems, test systems) (Billion Yen) (Billion Yen) R&D expenses FY'15 FY'16 FY'17 FY'18 FY'19 (E) Capex Depreciation Nirasaki City, Yamanashi Prefecture: approx. 13.B construction cost (Begin construction in January 219, completion scheduled for April 22) Oshu City, Iwate Prefecture: approx. 13.B construction cost (Begin construction in October 218, completion scheduled for September 219) Maximize business opportunities while equipment market is in a growth phase 23 FY'15 FY'16 FY'17 FY'18 FY'19 (E)

24 FY219 Dividend Forecast (Yen) 9 Dividend per share 823 yen yen 352 yen 624 yen Year-end 433 yen 237 yen Interim 39 yen TEL shareholder return policy Dividend payout ratio: 5% Annual DPS of not less than 15 yen We will review our dividend policy if the company does not generate net income for two consecutive fiscal years We will flexibly consider share buybacks FY'15 FY'16 FY'17 FY'18 FY'19 (E) Expect to raise DPS 32% YoY 24

25 Appendix 1: Etching System 25

26 3D NAND Business Opportunities Ratio of etching systems in NAND CY Other systems 85% Etching systems 15% Other systems 5% 3D NAND HARC * processes CY 19 Etching systems 5% TEL estimates CY 17 CY 18 CY 19 6X 6X/9X 9X Increase in 3D NAND HARC * processes Investment per 1k/wspm ** ($M) 6X 9X 12X HARC processes (multi-level contact/word line isolation/channel) Other etching processes Etching process total D NAND 6X 9X (Greenfield, TEL estimates) Multi-level contact Word line isolation (Slit) Channel (Memory hole) Expand HARC processes by increasing number of stacked layers * HARC (High aspect ratio contact) process: a process for forming holes that requires advanced processing technology ** wspm: wafer starts per month 26

27 3D NAND: Approach and Results Maintained a 1% share of the multi-level contact processes. Plan to further increase revenues by integrating mask process to improve productivity Captured new customer PORs with 9X generation word line isolation. Aim to increase our position by integrating mask process Realize the capture of channel processes through new technology Improve productivity by integrating processes A single system that combines mask and main processes normally processed by different systems Improved productivity Mask process Main process Expand our position by dramatically improving profile and productivity 27

28 Logic: Approach and Results Maintained high interconnecting dielectric etching process market share Aim to expanded advanced patterning applications Interconnects Advanced patterning RLSA plasma source ALE concept process Plasma etch systems Tactras RLSA Realize high selectivity through low electron temperature plasma Achieve high-level of control over dimension variation Aim to increase sales by differentiating our technology in 7nm and finer advanced patterning 28

29 DRAM: Approach and Results Captured BEOL processes by leveraging expertise in logic through our strength in damascene processes Captured development PORs with all customers using 1Y generation capacitor process (HARC) Realized reduced patterning costs for customers by combining etching steps. Currently using successes with strategic customers to expand market share in other customers Interconnects Capacitor (HARC) Simplify process and raise productivity by combining multiple etching steps Currently achieving success in all DRAM focus processes in line with plan 29

30 Appendix 2: Effects of EUV Lithography Adoption 3

31 Effects of EUV Lithography Adoption EUV adoption will solve sophisticated technological hurdles our customers face (i.e. placement errors), bringing about quite positive effects on semiconductor and SPE industries Advance miniaturization Accelerate customers investment in next generation technologies by enhancing the yield Further miniaturization led by EUV will create more differentiation of our products and business opportunities Increase our coater/developer market share even further Expand demand for etch, deposition and cleaning equipment Differentiate our product through advancing self-aligned patterning technology Expand business with process integration, leveraging our robust product lineup 31

32 Edge Placement Error Improvement by EUV Step1: Line/Space Line (Litho-Etch) 3 with 193i 2 1 Fluctuation can be cumulated after each litho-etch step Edge placement error Too close Space Step2: Cut Self-aligned multiple patterning (SAMP) requires a lot of etch and dep equipment Cut EUV introduction 1 3 Too far Closer 3 masks 3 masks : (Litho + Etch) x 3 Yield degradation Single exposure by EUV Minimum fluctions 1 1 Schematic of logic device LELELE = (Litho-Etch) 3 requires 1 mask many lithography tools but does not require many etch and dep equipment Yield improvement 1 mask : (Litho + Etch) x

33 (Litho-etch) n Patterning for Logic MOL Contact/Cut Module PMD dep Hard mask1 dep Hard mask2 dep Lithography1 Contact HM2 etch1 short etch time Lithography2 Contact HM2 etch2 short etch time Lithography3 Contact HM2 etch3 short etch time Contact HM1 etch short etch time Contact PMD etch long etch time Post etch clean Barrier metal Ti/TiN dep Contact plug W dep Contact plug W CMP (Litho-etch) n patterning Thicker layer Higher etch selectivity Lithography1 Lithography2 Lithgraphy3 Contact HM2 etch1 Contact HM1 etch (Litho-etch) 3 patterning Contact HM2 etch2 Contact PMD etch Contact HM2 etch3 or Contact plug W CMP EUV lithography Contact HM2 etch 33

34 Self-aligned Multiple Patterning for Line/Space Had mask1 dep Hard mask2 dep Mandrel1 dep Hard mask3 dep Hard mask4 dep Mandrel2 dep Hard mask5 dep Lithography Hard mask5 etch Mandrel2 etch Sidewall1 dep Sidewall1 etch back Mandrel2 pull Hard mask4 etch Hard mask3 etch Mandrel1 etch Sidewall2 dep Sidewall2 etch back Hard mask5 Mandrel2 Hard mask4 Hard mask3 Mandrel1 Hard mask2 Hard mask1 p 1 SADP 2 p Mandrel2 etch Sidewall1 dep Sidewall etch back1 1 4 p SAQP Lithography Deposition Etch Hard mask3 etch Mandrel1 etch Sidewall2 dep Sidewall etch back2 SADP: Self-aligned double patterning SAQP: Self-aligned quadruple patterning 34

35 Appendix 3: FPD Production Equipment 35

36 Display Trends Technology inflection creates greater business opportunities Increasing screen size OLED Color filter LCD TFT Backlight Emissive layer TFT LCD OLED Increasing resolution TV FHD 4K 8K Smartphones 3 ppi 7 ppi Design flexibility Flexible, edge bent, free format 36

37 FPD Business Medium-term Plan Increase share and profitability in market that has begun to grow again FY22 target: sales 8.B, operating margin over 2% (Billion Yen) 1 4% 8 3% 6 4 2% 1% Sales Operating margin 2 % FY215 FY216 FY217 FY218 FY22 (Medium-term plan) -1% 37

38 Medium-term Plan Progress: Highlights TEL s product strategy for a new PICP * etching system is progressing according to plan Expanding the G1.5 equipment business G1.5 G8.5 Coater/developers and etching system PICP etching system for large-sized panels PICP etching system for small- and medium-sized panels G6 New Betelex platform OLED process (G6H) Higher profitability for all panel generations * PICP: Plasma source for producing extremely uniform high density plasma on substrate 38

39 3,37 mm Opportunity G1.5 Equipment Market Greater than expected investment and market expansion Maintain high market share through technological differentiation (large area plasma suppression, air floating coater) G1.5 TFT array equipment market ($M) 3,5 3, 2,5 Eight 65 inch TV panel substrate possible 65 2, 1,5 1, Results based on IHS Markit, Technology Group Display Supply Demand & Equipment Tracker, Q Results are not an endorsement of Tokyo Electron. Any reliance on these results is at the third party's own risk. Visit technology.ihs.com for more details. 2,94 mm 39

40 Opportunity Metal Oxide/LTPS Higher sophistication of etching technology and increased number of processes TFT a-si Metal oxide LTPS Representation of structure Application Number of masks Dry etch processes LCD TV Monitor OLED TV Tablet Smartphone (LCD/OLED) a-si, SiNx SiO, SiNx SiO, Metal Further new needs Flexible displays +2 processes OLED process (G6 half size) +3-4 processes 4

41 Mm 2 / YR Opportunity Growth of OLED TV Market Introduced inkjet printing system to meet production demand from 218 Material utilization significantly more efficient than current evaporation method Increase in OLED TV manufacturers New manufacturers in Japan 8 7 OLED TV area demand Toshiba REGZA X91 series Launched March 217 Sony BRAVIA A1 To be launched June 217 Panasonic VIERA TH-65EZ1/EZ95 series To be launched June Results based on IHS Markit, Technology Group Display Supply Demand & Equipment Tracker, Q Results are not an endorsement of Tokyo Electron. Any reliance on these 41 results is at the third party's own risk. Visit technology.ihs.com for more details.

42 Appendix 4: Financial Data 42

43 Financial Summary FY217 FY218 YoY Change (Billion yen) (Reference) FY218 estimates announced on Oct. 31, 217 Net sales , % 1,13. SPE , % 1,54.7 FPD % % % % Gross profit +47.4% Gross profit margin +1.7pts SG&A expenses % 196. Operating income Operating margin % % +8.6% +5.4pts % Income before income taxes % 267. Net income attributable to owners of parent % 198. EPS (Yen) , % 1,26.66 R&D expenses % 1. Capital expenditures % 5. Depreciation and amortization % In principle, export sales of Tokyo Electron s mainstay semiconductor and FPD production equipment are denominated in yen. While some settlements are denominated in dollars, exchange risk is hedged as forward exchange contracts are made individually at the time of booking. 2. Profit ratios are calculated using full amounts, before rounding. 43

44 Segment Information SPE (Semiconductor Production Equipment) (Billion Yen) 1,2 9 Sales Segment income Segment profit margin 1,55.2 6% 5% FPD (Flat Panel Display Production Equipment) (Billion Yen) 1 Sales Segment income Segment profit margin % 5% 1% Composition of Net Sales 6 7 FPD % 4% % 3% % 5% SPE % % 1% % 17.7% % 1% FY'17 FY'18 % FY' Significantly raised profitability in both SPE and FPD 1. Segment income is based on income before income taxes. 2. R&D expenses such as fundamental research and element research are not included in above reportable segments. 3. Composition of net sales figures is based on the sales to customers. 44 FY'18 % % FY'17 FY'18

45 SPE Division: New Equipment Sales by Application (Billion Yen) 9 DRAM Non-volatile memory Logic foundry Logic & others (MPU, AP, Others) % FY218 results % 4% 3% 28% 2% 11% 31% 25% 25% 25% 24% FY'16 FY'17 FY'18 Sales of DRAM and non-volatile memory more than doubled YoY driven by increase in demand for servers and proactive investment in next-generation technology In foundry/logic, investment in cutting-edge generations and 28nm and above continued 45

46 SPE Division: New Equipment Sales by Product (Billion Yen) 9 Coater/Developer Etch system Deposition system Cleaning system Wafer prober Others % FY218 results % 4% Sales expanded in focus areas (etch, deposition, cleaning) driven by investment 3 28% 29% 34% 23% in non-volatile memory and miniaturization enabled by multiple patterning 22% 26% 1% 11% 1% 4% 6% 6% 3% 2% 1% FY'16 FY'17 FY'18 46

47 Field Solutions Sales (Billion Yen) 3 2 Used equipment and modification Parts and services FY218 results Sales growth of +21% YoY, full-year sales reached 251.B Parts sales increased strongly, especially in South Korea, due to rise in customers equipment utilization FY'16 FY'17 FY'18 * FY216 and FY217 sales figures have been rounded. 47

48 SPE Sales by Region (Billion Yen) Japan North America Europe Korea (Billion Yen) (Billion Yen) (Billion Yen) FY'17 FY'18 FY'17 FY'18 FY'17 FY'18 FY'17 FY'18 Taiwan China S.E. Asia, Others Region Composition (Billion Yen) (Billion Yen) (Billion Yen) FY' FY' FY' FY' FY'17 FY'18 1% 5% % FY'17 FY'18 S.E. Asia, Others China Taiwan Korea Europe North America Japan 48

49 Inventory Turnover and Accounts Receivable Turnover (Quarterly) (Billion Yen) (Days) Net sales Inventory turnover Accounts receivable turnover Q4 FY'16 Q1 FY'17 Q2 Q3 Q4 Q1 FY'18 Q2 Q3 Q4 Turnover days = inventory or accounts receivable at the end of each quarter / last 12 months sales x

50 Financial Summary (Quarterly) FY217 FY218 Q4 Q1 Q2 Q3 Q4 vs. Q3 FY218 Net sales % SPE % FPD % Gross profit Gross profit margin % % % % % +44.8% +2.pts SG&A expenses % Operating income Operating margin % % SPE: Semiconductor production equipment, FPD: Flat panel display production equipment % % % (Billion yen) +71.7% +5.5pts Income before income taxes % Net income attributable to owners of parent % R&D expenses % Capital expenditures % Depreciation and amortization % 1. In principle, export sales of Tokyo Electron s mainstay semiconductor and FPD production equipment are denominated in yen. While some settlements are denominated in dollars, exchange risk is hedged as forward exchange contracts are made individually at the time of booking. 2. Profit ratios are calculated using full amounts, before rounding.

51 Financial Performance (Quarterly) (Billion Yen) 5 5% % 4% 3 28.% 3% 2 2% % Q4 FY 17 Q1 FY 18 Q2 Q3 Q4 Net sales Operating income Net income attributable to owners of parent Gross profit margin 42.5% 41.1% 42.1% 41.1% 43.1% Operating margin 23.6% 23.2% 24.4% 22.5% 28.% % 51

52 Segment Information (Quarterly) SPE (Semiconductor production equipment) (Billion Yen) Sales Segment income Segment profit margin % 5% 4% FPD (Flat panel display production equipment) (Billion Yen) 35. Sales Segment income Segment profit margin % 5% 4% 1% Composition of Net Sales FPD % 29.8% 29.6% 28.6% 3.9% Q4 FY'17 Q1 FY'18 Q2 Q3 Q % 2% 1% % % 2.3 Q4 FY' %.4 Q1 FY' % % % Q2 Q3 Q % 2% 1% % 5% % Q4 FY'17 Q1 FY'18 Q2 Q3 Q4 SPE 1. Segment income is based on income before income taxes. 2. R&D expenses such as fundamental research and element research are not included in above reportable segments. 3. Composition of net sales figures is based on the sales to customers. 52

53 SPE Division: New Equipment Sales by Application (Quarterly) (Billion Yen) DRAM Non-volatile memory Logic foundry Logic & others (MPU, AP, Others) % % 25% 25% 38% 23% % 23% 18% 3% 17% 17% 31% 27% 27% 22% 22% 32% 25% 21% 23% 25% 23% 19% Q4 FY'16 Q1 FY' % 38% 31% 18% 17% 31% 22% 32% 23% 19% 12% % 18% 16% 24% 31% 32% 32% 34% 32% 25% 19% % 22% 31% 16% 27% 24% 32% 27% 34% 26% 25% 22% % 17% 27% 24% 4% 27% 34% 16% 24% 26% 25% 26% 24% 27% Q2 Q3 Q4 Q1 FY'18 22% 17% % % 25% 27% 21% 4% 35% 4% 35% 27% 8% 16% 32% 27% 24% 41% 16% 8% 12% 1% 32% 27% 28% 43% 26% 19% Q2 Q3 Q4 Percentages on the graph show the composition ratio of new equipment sales. Field solutions sales are not included. 53

54 Field Solutions Sales (Quarterly) (Billion Yen) Q4 FY 16 Q1 FY 17 Q2 Q3 Q4 Q1 FY 18 Q2 Q3 Q4 SPE Sales FPD Sales

55 SPE Division: Sales by Region (Quarterly) (Billion Yen) Q4 FY 16 Q1 FY 17 Q2 Q3 Q4 Q1 FY 18 Q2 Q3 Q4 Japan North America Europe South Korea Taiwan China S. E. Asia, Others

56 Balance Sheet (Quarterly) Assets (Billion Yen) Liabilities & Net Assets (Billion Yen) , , , Q4 FY'17 Q1 FY'18 Q2 Q3 Q4 Cash & cash equivalents* Trade notes, accounts receivables Inventories Other current assets Tangible assets Intangible assets Investment & other assets Q4 FY'17 Q1 FY'18 1,28.7 1,91.5 1, Q2 Q3 Q4 Liabilities Net assets * Cash and cash equivalents: Cash and deposits + Short-term investments, etc. (Securities in B/S). 56

57 Cash Flow (Quarterly) (Billion Yen) Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 FY 16 FY 17 FY 18 Cash flow from operating activities Cash flow from investing activities* Cash flow from financing activities Free cash flow** Cash on hand*** * Cash flow from investing activities excludes changes in deposits with periods to maturity of over 3 months. ** Free cash flow = cash flow from operating activities + cash flow from investing activities excluding changes in deposits with periods to maturity of over 3 months. *** Cash on hand includes the total of cash + deposits with periods to maturity of over 3 months. 57

58 Consolidated 1-year Financial Summary (Million Yen) FY29 FY21 FY211 FY212 FY213 FY214 FY215 FY216 FY217 FY218 Net Sales 58,82 418, , ,91 497, ,17 613, , ,719 1,13,728 Semiconductor production equipment 325, , , , ,26 478, , ,32 749,893 1,55,234 FPD production equipment 88,17 71,361 66,721 69,888 2,16 28,317 32,79 44,687 49,387 75,68 PV production equipment 3,85 3,617 Computer network 94,27 84,473 9,216 84,867 84,664 1,726 Electronic components Other , Gross profit 137,48 18, , , ,754 21, , ,29 322, ,32 Gross profit margin 27.% 25.9% 35.1% 33.4% 31.9% 33.% 39.6% 4.2% 4.3% 42.% SG&A expenses 122,697 11, , ,1 146,26 169, ,66 15,42 166, ,86 Operating income 14,71-2,18 97,87 6,443 12,548 32,24 88, , , ,172 Operating margin 2.9% -.5% 14.6% 9.5% 2.5% 5.3% 14.4% 17.6% 19.5% 24.9% Ordinary income 2,555 2,558 11,919 64,46 16,696 35,487 92, , ,549 28,737 Income before income taxes 9,636-7,767 99,579 6,62 17,766-11,756 86,827 16, , ,242 Net income attributable to owners of parent 7,543-9,33 71,924 36,725 6,76-19,48 71,888 77, ,28 24,371 Depreciation and amortization 23,68 2,1 17,77 24,197 26,63 24,888 2,878 19,257 17,872 2,619 Capital expenditures 18,17 14,918 39,14 39,541 21,773 12,799 13,183 13,341 2,697 45,63 R&D expenses 6,987 54,74 7,568 81,56 73,248 78,663 71,349 76,286 83,8 97,13 Interest-bearing debt 3,86 5,15 7,996 4,42 3,756 13,531 Equity 518, , , , ,32 578,91 639, , ,94 767,146 Total assets 668, ,351 89,25 783,61 775, , , , ,447 1,28,75 Debt-to-equity ratio.7% 1.% 1.4%.8%.6% 2.3% Equity ratio 77.5% 73.5% 7.8% 74.9% 76.5% 69.8% 73.% 7.9% 67.2% 63.5% ROE 1.4% -1.8% 13.3% 6.3% 1.% -3.3% 11.8% 13.% 19.1% 29.% Cash flow from operating activities Cash flow from investing activities Cash flow from financing activities Net income per share Cash dividends per share 81,3 48,284 83,238 29,712 84,266 44,449 71,86 69, , ,582-16,621 9,613-35,881-8, ,769-19, ,737-15,13-28,893-11,833-46, ,236-27,334-1, , ,6-39,38-82, , Number of employees 1,391 1,68 1,343 1,684 12,21 12,34 1,844 1,629 11,241 11,946 58

59 Disclaimer regarding forward-looking statement Forecast of TEL s performance and future prospects and other sort of information published are made based on information available at the time of publication. Actual performance and results may differ significantly from the forecast described here due to changes in various external and internal factors, including the economic situation, semiconductor/fpd market conditions, intensification of sales competition, safety and product quality management, and intellectual property-related risks. Processing of numbers For the amount listed, because fractions are rounded down, there may be the cases where the total for certain account titles does not correspond to the sum of the respective figures for account titles. Percentages are calculated using full amounts, before rounding. Exchange risk In principle, export sales of Tokyo Electron s mainstay semiconductor and FPD panel production equipment are denominated in yen. While some settlements are denominated in dollars, exchange risk is hedged as forward exchange contracts are made individually at the time of booking. Accordingly, the effect of exchange rates on profits is negligible. Disclaimer regarding IHS Markit data (Page 39, 41) The IHS Markit reports and information referenced herein (the "IHS Markit Materials") are the copyrighted property of IHS Markit Ltd. ( IHS Markit ) and represent data, research, opinions or viewpoints published by IHS Markit, and are not representations of fact. The IHS Markit Materials speak as of the original publication date thereof (and not as of the date of this offering document). The information and opinions expressed in the IHS Markit Materials are subject to change without notice and IHS Markit has no duty or responsibility to update the IHS Markit Materials. Moreover, while the IHS Markit Materials reproduced herein are from sources considered reliable, the accuracy and completeness thereof are not warranted, nor are the opinions and analyses which are based upon it. To the extent permitted by law, IHS Markit shall not be liable for any errors or omissions or any loss, damage or expense incurred by reliance on the IHS Markit Materials or any statement contained herein, or resulting from any omission. No portion of the IHS Markit Materials may be reproduced, reused, or otherwise distributed in any form without the prior written consent of IHS Markit. Content reproduced or redistributed with IHS Markit s permission must display IHS Markit s legal notices and attributions of authorship. IHS Markit and the IHS Markit globe design are trademarks of IHS Markit. Other trademarks appearing in the IHS Markit Materials are the property of IHS Markit or their respective owners. Disclaimer regarding Gartner data (Page 6, 7, 12, 19) All statements in this presentation attributable to Gartner represent Tokyo Electron s interpretation of data, research opinion or viewpoints published as part of a syndicated subscription service by Gartner, Inc., and have not been reviewed by Gartner. Each Gartner publication speaks as of its original publication date (and not as of the date of this presentation). The opinions expressed in Gartner publications are not representations of fact, and are subject to change without notice. FPD: Flat panel display 59

60 6

Q1 FY2019 (April 1, 2018 June 30, 2018) Financial Announcement

Q1 FY2019 (April 1, 2018 June 30, 2018) Financial Announcement Q1 FY219 (April 1, 218 June 3, 218) Financial Announcement July 26, 218 Agenda: Q1 FY219 Consolidated Financial Summary Ken Sasagawa, Vice President, Accounting Dept. Business Environment and Financial

More information

Q2 FY2018 (July - September 2017) Financial Announcement

Q2 FY2018 (July - September 2017) Financial Announcement FY218 (July - September 217) Financial Announcement October 31, 217 Agenda: FY218 Consolidated Financial Summary Tetsuro Hori, Representative Director, CFO, Executive Vice President Business Environment

More information

FY2017 (Apr. 1, 2016 Mar. 31, 2017) Financial Announcement

FY2017 (Apr. 1, 2016 Mar. 31, 2017) Financial Announcement FY217 (Apr. 1, 216 Mar. 31, 217) Financial Announcement April 28, 217 Agenda: FY217 Consolidated Financial Summary Tetsuro Hori, Representative Director, Executive Vice President & General Manager Business

More information

Tokyo Electron Corporate Update

Tokyo Electron Corporate Update Tokyo Electron Corporate Update November 05, 2018 1 Contents 1. TEL Overview 2. Medium-term Management Plan TEL Initiatives and Progress 3. FY2019 Business Environment and Financial Estimates 3 11 23 Appendix

More information

FY2016 (Apr. 1, 2015 Mar. 31, 2016) Financial Announcement

FY2016 (Apr. 1, 2015 Mar. 31, 2016) Financial Announcement FY216 (Apr. 1, 215 Mar. 31, 216) Financial Announcement April 26, 216 Agenda: FY216 Consolidated Financial Summary Tetsuro Hori, Corporate Director, Senior Vice President & General Manager Business Environment

More information

FY2015 (April 1, 2014 March 31, 2015) Financial Announcement

FY2015 (April 1, 2014 March 31, 2015) Financial Announcement FY215 (April 1, 214 March 31, 215) Financial Announcement Agenda: FY215 Consolidated Financial Summary Yoshiteru Harada, Corporate Director, Executive Officer Business Environment and Approach to Raising

More information

1Q FY2016 (April 1, 2015 June 30, 2015) Financial Announcement

1Q FY2016 (April 1, 2015 June 30, 2015) Financial Announcement FY216 (April 1, 215 June 3, 215) Financial Announcement Agenda: Introduction Tetsuro Higashi, Representative Director, President & CEO FY216 Consolidated Financial Summary Tetsuro Hori, Corporate Director,

More information

The 55th Annual General Meeting of Shareholders June 19, 2018

The 55th Annual General Meeting of Shareholders June 19, 2018 The 55th Annual General Meeting of Shareholders June 19, 2018 The 55 th Annual General Meeting of Shareholders 1 FY2018 Financial Report (FY2018: April 1, 2017 March 31, 2018) The 55 th Annual General

More information

3Q FY2012 (April 1, 2011 December 31, 2011) Financial Announcement

3Q FY2012 (April 1, 2011 December 31, 2011) Financial Announcement FY212 (April 1, 211 December 31, 211) Financial Announcement TOKYO ELECTRON February 3, 212 FY212 (April 1, 211 December 31, 211) Consolidated Financial Summary FY212: October 1, 211 - December 31, 211

More information

Consolidated Financial Review for the First Quarter Ended June 30, 2016

Consolidated Financial Review for the First Quarter Ended June 30, 2016 Consolidated Financial Review for the First Quarter Ended June 30, 2016 Company name: Tokyo Electron Limited URL: http://www.tel.com Telephone number: (03) 5561-7000 Stock exchange listing: Tokyo Stock

More information

3. Financial Forecasts for the Year Ending March 31, 2019 (April 1, 2018 to March 31, 2019) Note: Percentages for year ending March 31, 2019 indicate

3. Financial Forecasts for the Year Ending March 31, 2019 (April 1, 2018 to March 31, 2019) Note: Percentages for year ending March 31, 2019 indicate Summary of Consolidated Financial Results for the First Quarter Ended June 30, 2018 (Japanese GAAP) July 26, 2018 Name of Listed Company: Tokyo Electron Limited Stock Exchange Listing: Tokyo Security Code:

More information

INVESTOR PRESENTATION

INVESTOR PRESENTATION INVESTOR PRESENTATION Q4 2016 RESULTS March 2, 2017 October 26, 2016 ASM proprietary information 2017 ASM CAUTIONARY NOTE REGARDING FORWARD-LOOKING STATEMENTS Cautionary note regarding forward-looking

More information

TOKYO ELECTRON Summary of Consolidated Financial Results for the Second Quarter Ended September 30, 2018 (Japanese GAAP) October 31, 2018 Name of List

TOKYO ELECTRON Summary of Consolidated Financial Results for the Second Quarter Ended September 30, 2018 (Japanese GAAP) October 31, 2018 Name of List Summary of Consolidated Financial Results for the Second Quarter Ended September 30, 2018 (Japanese GAAP) October 31, 2018 Name of Listed Company: Tokyo Electron Limited Stock Exchange Listing: Tokyo Security

More information

ASML 2008 First Quarter Results

ASML 2008 First Quarter Results ASML 2008 First Quarter Results ASML continues to execute its leadership strategy and expects gradual order pick-up April 16, 2008 / Slide 1 Safe Harbor "Safe Harbor" Statement under the US Private Securities

More information

Nanometrics Investor Presentation Q4 2014

Nanometrics Investor Presentation Q4 2014 Nanometrics Investor Presentation Q4 2014 Forward-Looking Statements This communication contains forward-looking statements within the meaning of the safe harbor provisions of the Private Securities Litigation

More information

ASML 2007 Annual and Fourth Quarter Results

ASML 2007 Annual and Fourth Quarter Results ASML 2007 Annual and Fourth Quarter Results Technology leadership to provide robust H1 sales and profit amid market uncertainty January 16, 2008 / Slide 1 Safe Harbor Safe Harbor Statement under the U.S.

More information

CONSOLIDATED FINANCIAL REPORT FOR THE FISCAL YEAR ENDED MARCH 31, 2018 [Japanese GAAP]

CONSOLIDATED FINANCIAL REPORT FOR THE FISCAL YEAR ENDED MARCH 31, 2018 [Japanese GAAP] May8,2018 SCREEN Holdings Co., Ltd. Tenjinkita-machi 1-1, Teranouchi-agaru 4-chome, Horikawa-dori, Kamigyo-ku, Kyoto 602-8585, Japan CONSOLIDATED FINANCIAL REPORT FOR THE FISCAL YEAR ENDED MARCH 31, 2018

More information

INVESTOR PRESENTATION

INVESTOR PRESENTATION INVESTOR PRESENTATION Q2 2017 RESULTS July 25, 2017 October 26, 2016 ASM proprietary information 2017 ASM CAUTIONARY NOTE REGARDING FORWARD-LOOKING STATEMENTS Cautionary Note Regarding Forward-Looking

More information

Investor Presentation 30 th Annual ROTH Conference. Dr. Pierre-Yves Lesaicherre, President and CEO

Investor Presentation 30 th Annual ROTH Conference. Dr. Pierre-Yves Lesaicherre, President and CEO Investor Presentation 30 th Annual ROTH Conference Dr. Pierre-Yves Lesaicherre, President and CEO March 13 th, 2018 Forward-Looking Statements This communication contains forward-looking statements within

More information

FY2018 1Q. (Three months ended June 30th, 2018) Information Meeting. July 25th, 2018 Advantest Corporation

FY2018 1Q. (Three months ended June 30th, 2018) Information Meeting. July 25th, 2018 Advantest Corporation FY2018 1Q (Three months ended June 30th, 2018) Information Meeting July 25th, 2018 Advantest Corporation Agenda Financial Results for FY2018 1Q Atsushi Fujita Managing Executive Officer FY2018 Business

More information

ASML Q1 net sales in line with guidance at 1.65 billion, gross margin 47.2%

ASML Q1 net sales in line with guidance at 1.65 billion, gross margin 47.2% ASML Q1 net sales in line with guidance at 1.65 billion, gross margin 47.2% ASML 2015 First Quarter Results Veldhoven, the Netherlands April 15, 2015 Forward looking statements This document contains statements

More information

INVESTOR PRESENTATION

INVESTOR PRESENTATION INVESTOR PRESENTATION Q3 2017 RESULTS October 31, 2017 October 26, 2016 (updated on November 8, 2017) ASM proprietary information 2017 ASM CAUTIONARY NOTE REGARDING FORWARD-LOOKING STATEMENTS Cautionary

More information

INVESTOR PRESENTATION

INVESTOR PRESENTATION INVESTOR PRESENTATION Q4 2018 RESULTS February 21, 2019 October 26, 2016 ASM proprietary information 2019 ASM CAUTIONARY NOTE REGARDING FORWARD-LOOKING STATEMENTS Cautionary Note Regarding Forward-Looking

More information

Consolidated Financial Review for the Third Quarter Ended December 31, 2015

Consolidated Financial Review for the Third Quarter Ended December 31, 2015 Jan 29, 2016 Consolidated Financial Review for the Third Quarter Ended December 31, 2015 Company name: Tokyo Electron Limited URL: http://www.tel.com Telephone number: (03) 5561-7000 Stock exchange listing:

More information

INVESTOR PRESENTATION

INVESTOR PRESENTATION INVESTOR PRESENTATION Q3 2018 RESULTS October 31, 2018 October 26, 2016 ASM proprietary information 2018 ASM CAUTIONARY NOTE REGARDING FORWARD-LOOKING STATEMENTS Cautionary Note Regarding Forward-Looking

More information

INVESTOR PRESENTATION

INVESTOR PRESENTATION INVESTOR PRESENTATION Q1 2018 RESULTS April 19, 2018 October 26, 2016 ASM proprietary information 2018 ASM CAUTIONARY NOTE REGARDING FORWARD-LOOKING STATEMENTS Cautionary Note Regarding Forward-Looking

More information

ASML reports first-quarter sales and gross margin in line with guidance Strong Q2 outlook underpinned by 10 nanometer logic ramp

ASML reports first-quarter sales and gross margin in line with guidance Strong Q2 outlook underpinned by 10 nanometer logic ramp ASML reports first-quarter sales and gross margin in line with guidance Strong Q2 outlook underpinned by 10 nanometer logic ramp ASML 2016 First-Quarter Results Veldhoven, the Netherlands Forward looking

More information

INVESTOR PRESENTATION

INVESTOR PRESENTATION INVESTOR PRESENTATION Q2 2018 RESULTS July 24, 2018 October 26, 2016 ASM proprietary information 2018 ASM CAUTIONARY NOTE REGARDING FORWARD-LOOKING STATEMENTS Cautionary Note Regarding Forward-Looking

More information

INVESTOR PRESENTATION

INVESTOR PRESENTATION INVESTOR PRESENTATION Q4 2017 RESULTS February 28, 2018 October 26, 2016 ASM proprietary information 2018 ASM CAUTIONARY NOTE REGARDING FORWARD-LOOKING STATEMENTS Cautionary Note Regarding Forward-Looking

More information

3. Financial Forecasts for the Year Ending March 31, 2019 (April 1, 2018 to March 31, 2019) Note: Percentages for year ending March 31, 2019 indicate

3. Financial Forecasts for the Year Ending March 31, 2019 (April 1, 2018 to March 31, 2019) Note: Percentages for year ending March 31, 2019 indicate Summary of Consolidated Financial Results for the Third Quarter Ended December 31, 2018 (Japanese GAAP) January 31, 2019 Name of Listed Company: Tokyo Electron Limited Stock Exchange Listing: Tokyo Security

More information

Investor Presentation

Investor Presentation Investor Presentation Dr. Pierre-Yves Lesaicherre, President and CEO Q1 2019 Forward-Looking Statements This communication contains forward-looking statements within the meaning of the safe harbor provisions

More information

20th Annual Needham Growth Conference

20th Annual Needham Growth Conference Investor Presentation 20th Annual Needham Growth Conference Pierre-Yves Lesaicherre, President and CEO January 18, 2018 Forward-Looking Statements This communication contains forward-looking statements

More information

Consolidated Financial Review for the Year Ended March 31, 2013

Consolidated Financial Review for the Year Ended March 31, 2013 Consolidated Financial Review for the Year Ended April 30, 2013 Company name: Tokyo Electron Limited URL: http://www.tel.com Telephone number: (03) 5561-7000 Stock exchange listing: Tokyo Stock Exchange

More information

INVESTOR PRESENTATION

INVESTOR PRESENTATION INVESTOR PRESENTATION Q1 2016 RESULTS April 21, 2016 April 24, 2015 ASM proprietary information 2016 ASM CAUTIONARY NOTE REGARDING FORWARD-LOOKING STATEMENTS Cautionary Note Regarding Forward-Looking Statements:

More information

Consolidated Financial Review for the Second Quarter Ended September 30, 2015

Consolidated Financial Review for the Second Quarter Ended September 30, 2015 Oct 27, 2015 Consolidated Financial Review for the Second Quarter Ended September 30, 2015 Company name: Tokyo Electron Limited URL: http://www.tel.com Telephone number: (03) 5561-7000 Stock exchange listing:

More information

TOKYO ELECTRON ANNUAL REPORT 2018

TOKYO ELECTRON ANNUAL REPORT 2018 TOKYO ELECTRON ANNUAL REPORT 218 For the Year Ended March 31, 218 PR56-17 PAGE 1 To Our Stakeholders Business Overview and Financial Highlights Interview with the CEO Review of Operations and Business

More information

Nanometrics Investor Presentation. CEO Investor Summit July 2014

Nanometrics Investor Presentation. CEO Investor Summit July 2014 Nanometrics Investor Presentation CEO Investor Summit July 2014 Forward-Looking Statements This communication contains forward-looking statements within the meaning of the safe harbor provisions of the

More information

VERSUM MATERIALS. GUILLERMO NOVO EXECUTIVE VICE PRESIDENT, MATERIALS TECHNOLOGIES, AIR PRODUCTS Will be - CEO, VERSUM MATERIALS

VERSUM MATERIALS. GUILLERMO NOVO EXECUTIVE VICE PRESIDENT, MATERIALS TECHNOLOGIES, AIR PRODUCTS Will be - CEO, VERSUM MATERIALS VERSUM MATERIALS GUILLERMO NOVO EXECUTIVE VICE PRESIDENT, MATERIALS TECHNOLOGIES, AIR PRODUCTS Will be - CEO, VERSUM MATERIALS Jefferies Industrials Conference August 2016 FORWARD-LOOKING STATEMENTS This

More information

Merchant Photomask Leader. Photronics, Inc. Jefferies 2017 Technology Conference May 9, 2017

Merchant Photomask Leader. Photronics, Inc. Jefferies 2017 Technology Conference May 9, 2017 Merchant Photomask Leader Photronics, Inc. Jefferies 2017 Technology Conference May 9, 2017 Safe Harbor Statement This presentation and some of our comments may contain projections or other forward-looking

More information

Earnings Release Q Samsung Electronics. April 2018

Earnings Release Q Samsung Electronics. April 2018 Earnings Release Q1 2018 Samsung Electronics April 2018 Disclaimer The financial information in this document are consolidated earnings results based on K-IFRS. This document is provided for the convenience

More information

Creating a New Global Innovator. September 24, 2013

Creating a New Global Innovator. September 24, 2013 Creating a New Global Innovator September 24, 2013 Today s Announcement Applied Materials and Tokyo Electron combining in a merger of equals Creates a new global innovator in Precision Materials Engineering

More information

Lam Research Corporation

Lam Research Corporation 2017 Lam Research Corp. 1.25.2017 1 Lam Research Corporation December Quarter 2016 Financial Results January 25, 2017 2017 Lam Research Corp. 1.25.2017 2 Cautionary Statement Regarding Forward-Looking

More information

Investor Presentation

Investor Presentation Investor Presentation May 2018 PASSION. INNOVATION. PERFORMANCE Cautionary Statement Regarding Forward-Looking Statements This presentation includes statements that constitute forward-looking statements

More information

FY2015 1Q Information Meeting

FY2015 1Q Information Meeting Information Meeting July 28th, 2015 Advantest Corporation 2015/7/28 All Rights Reserved - ADVANTEST CORPORATION 1 NOTE Prepared in accordance with US GAAP Cautionary Statement with Respect to Forward-Looking

More information

ASMI ANNUAL MEETING OF SHAREHOLDERS 2017

ASMI ANNUAL MEETING OF SHAREHOLDERS 2017 ASMI ANNUAL MEETING OF SHAREHOLDERS 2017 May 22, 2017 ASMI annual meeting of shareholders 2017 ASMI 1 ASMI ANNUAL MEETING OF SHAREHOLDERS 2017 Chuck del Prado, President & CEO May 22, 2017 ASMI annual

More information

Earnings Release Q Samsung Electronics. January 2013

Earnings Release Q Samsung Electronics. January 2013 Earnings Release Q4 2012 Samsung Electronics January 2013 Disclaimer The financial information in this document are consolidated earnings results based on K-IFRS. This document is provided for the convenience

More information

ASMI ANNUAL MEETING OF SHAREHOLDERS 2015

ASMI ANNUAL MEETING OF SHAREHOLDERS 2015 ASMI ANNUAL MEETING OF SHAREHOLDERS 2015 Chuck del Prado, President & CEO May 21, 2015 ASMI annual meeting of shareholders 2015 ASMI SAFE HARBOR STATEMENTS Safe Harbor Statement under the U.S. Private

More information

Investor Presentation MAY 2017

Investor Presentation MAY 2017 Investor Presentation MAY 2017 Safe Harbor This presentation contains, or may be deemed to contain, "forward-looking statements" (as defined in the US Private Securities Litigation Reform Act of 1995)

More information

Q Conference Call. Veeco Instruments, Inc. August 2, 2018

Q Conference Call. Veeco Instruments, Inc. August 2, 2018 Q2 2018 Conference Call Veeco Instruments, Inc. August 2, 2018 Safe Harbor To the extent that this presentation discusses expectations or otherwise makes statements about the future, such statements are

More information

Applied Materials, Inc.

Applied Materials, Inc. February 12, 2015 Applied Materials, Inc. Current Recommendation Prior Recommendation NEUTRAL Outperform Date of Last Change 10/22/2013 Current Price (02/11/15) $24.26 Target Price $25.00 SUMMARY (AMAT-NASDAQ)

More information

FY2013 Q3 Results Summary

FY2013 Q3 Results Summary FY2013 Q3 Results Summary Orders 27.4 billion +28% QoQ Sales 19.7 billion -34% QoQ Operating Income - 26.4 billion Income Before Tax - 26.9 billion Net Income - 24.8 billion Backlog 25.5 billion up 7.8

More information

Ferrotec Corporation Results for the 1 st half of the fiscal year ended March 31, 2014

Ferrotec Corporation Results for the 1 st half of the fiscal year ended March 31, 2014 Ferrotec Corporation Results for the 1 st half of the fiscal year ended March 31, 2014 November 27, 2013 (JASDAQ 6890) http://www. ferrotec. co. jp/ 1. This 1st half results cover six months period from

More information

Financial Results. August 14, 2013 Osamu Okabayashi President, Lasertec Corporation (Tokyo Stock Exchange 6920)

Financial Results. August 14, 2013 Osamu Okabayashi President, Lasertec Corporation (Tokyo Stock Exchange 6920) Fiscal Year ended June 2013 Financial Results August 14, 2013 Osamu Okabayashi President, Lasertec Corporation (Tokyo Stock Exchange 6920) Contents Results of Fiscal Year ended June 2013 (51st Term) Financial

More information

Global leader in high-end vacuum valve technology

Global leader in high-end vacuum valve technology FOURTH QUARTER AND FULL-YEAR 2016 RESULTS Global leader in high-end vacuum valve technology Heinz Kundert, CEO and Andreas Leutenegger, CFO March 31, 2017 1 Agenda 1 2 3 Highlights Fourth quarter and full-year

More information

Veeco Acquires Solid State Equipment Holdings LLC. December 5, 2014 Investor Conference Call

Veeco Acquires Solid State Equipment Holdings LLC. December 5, 2014 Investor Conference Call Veeco Acquires Solid State Equipment Holdings LLC December 5, 2014 Investor Conference Call Safe Harbor To the extent that this presentation discusses expectations or otherwise makes statements about the

More information

ASML Q2 sales as guided, gross margin tops guided range Full-year sales impacted by timing of logic capacity ramp

ASML Q2 sales as guided, gross margin tops guided range Full-year sales impacted by timing of logic capacity ramp ASML Q2 sales as guided, gross margin tops guided range Full-year sales impacted by timing of logic capacity ramp ASML 2014 Second Quarter Results Veldhoven, the Netherlands July 16, 2014 Forward looking

More information

Financial Results for the First Half of the Fiscal Year Ending March 31, 2015

Financial Results for the First Half of the Fiscal Year Ending March 31, 2015 Financial Results for the First Half of the Fiscal Year Ending March 31, 2015 NOK CORPORATION (7240 / TSE1) November 2014 Disclaimer Any plans, targets, forecasts, strategies, policies and opinions relating

More information

Financial Results for the First Half of the Fiscal Year Ending March 31, 2017

Financial Results for the First Half of the Fiscal Year Ending March 31, 2017 Second Quarter Financial Results for the First Half of the Fiscal Year Ending March 31, 2017 NOK CORPORATION (7240 / TSE1) November 9, 2016 Disclaimer Any plans, targets, forecasts, strategies, policies

More information

Earnings Release Q Samsung Electronics. July 2018

Earnings Release Q Samsung Electronics. July 2018 Earnings Release Q2 Samsung Electronics July Disclaimer The financial information in this document are consolidated earnings results based on K-IFRS. This document is provided for the convenience of investors

More information

Wonik Materials (104830)

Wonik Materials (104830) Company Note July 17, 213 Wonik Materials (1483) 12M rating BUY (Maintain) 12M TP W52, from W44, Up/downside +44% Stock Data KOSPI (Jul 16, pt) 1,866 Stock price (Jul 16, KRW) 36,1 Market cap (USD mn)

More information

Investor Presentation. August 15, 2017

Investor Presentation. August 15, 2017 Investor Presentation August 15, 2017 Forward-Looking Statements; Non-GAAP Financial Measures This presentation contains forward-looking statements that are based on our current expectations, forecasts

More information

ASML confirms full-year sales guidance, supported by solid backlog

ASML confirms full-year sales guidance, supported by solid backlog ASML confirms full-year sales guidance, supported by solid backlog ASML 2014 Third Quarter Results Veldhoven, the Netherlands October 15, 2014 Forward looking statements This document contains statements

More information

Earnings Release Q Samsung Electronics. January 2014

Earnings Release Q Samsung Electronics. January 2014 Earnings Release Q4 Samsung Electronics January 2014 Disclaimer The financial information in this document are consolidated earnings results based on K-IFRS. This document is provided for the convenience

More information

Business Results: Review of Operations for Fiscal 2016

Business Results: Review of Operations for Fiscal 2016 Financial Data/Corporate Information Business Results: Review of Operations for Fiscal 216 Business results for Fiscal 216 In the electronics market in which the ULVAC Group operates, trends toward more

More information

Q Conference Call

Q Conference Call Q4 2017 Conference Call > Veeco Instruments Inc. > February 12, 2018 1 Q4 2017 / FY 2017 Conference Call Veeco Instruments Inc 2018 Safe Harbor To the extent that this presentation discusses expectations

More information

Taiyo Holdings / 4626

Taiyo Holdings / 4626 COVERAGE INITIATED ON: 2017.12.08 Shared Research Inc. has produced this report by request from the company discussed in the report. The aim is to provide an owner s manual to investors. We at Shared Research

More information

Earnings Release Q Samsung Electronics. January 2019

Earnings Release Q Samsung Electronics. January 2019 Earnings Release Q4 Samsung Electronics January 2019 Disclaimer The financial information in this document are consolidated earnings results based on K-IFRS. This document is provided for the convenience

More information

Investor Presentation. September 5 st, 2018

Investor Presentation. September 5 st, 2018 Investor Presentation September 5 st, 2018 Forward-Looking Statements; Non-GAAP Financial Measures This presentation contains forward-looking statements that are based on our current expectations, forecasts

More information

Global leader in high-end vacuum valve technology

Global leader in high-end vacuum valve technology HALF YEAR 2017 RESULTS Global leader in high-end vacuum valve technology Heinz Kundert, CEO, Andreas Leutenegger, CFO and Jürgen Krebs, COO August 24, 2017 1 Agenda 1 2 3 Highlights Second quarter and

More information

1H FY08/3 Financial Results and Outlook

1H FY08/3 Financial Results and Outlook 1H FY8/3 Financial Results and Outlook Toshio Nakajima President and CEO November 13, 27 NEC Electronics Corporation http://www.necel.com/ir/en/ 1 Thank you for joining NEC Electronics webcast. Before

More information

17 th Annual Needham Growth Conference. Dr. Timothy Stultz, President and CEO Jeffrey Andreson, CFO

17 th Annual Needham Growth Conference. Dr. Timothy Stultz, President and CEO Jeffrey Andreson, CFO 17 th Annual Needham Growth Conference Dr. Timothy Stultz, President and CEO Jeffrey Andreson, CFO January 2015 Forward-Looking Statements This communication contains forward-looking statements within

More information

Siltronic AG Q3/2018 Results. October 25, 2018

Siltronic AG Q3/2018 Results. October 25, 2018 Siltronic AG Q3/2018 Results Siltronic AG 2018 Highlights Q3/2018: Financials Sales EUR 379.8m (Q2/2018: EUR 361.3m) EBITDA EUR 160.2m (Q2/2018: EUR 146.0m) EBITDA margin 42.2% (Q2/2018: 40.4%) EBIT EUR

More information

2018 Cabot Microelectronics Corporation 1

2018 Cabot Microelectronics Corporation 1 1 Safe Harbor Statement The information contained in and discussed during this presentation may include forward-looking statements within the meaning of federal securities regulations. These forward-looking

More information

CONSOLIDATED FINANCIAL REPORT FOR THE SECOND QUARTER ENDED SEPTEMBER 30, 2017 [Japanese GAAP]

CONSOLIDATED FINANCIAL REPORT FOR THE SECOND QUARTER ENDED SEPTEMBER 30, 2017 [Japanese GAAP] October 31, 2017 SCREEN Holdings Co., Ltd. Tenjinkita-machi 1-1, Teranouchi-agaru 4-chome, Horikawa-dori, Kamigyo-ku, Kyoto 602-8585, Japan CONSOLIDATED FINANCIAL REPORT FOR THE SECOND QUARTER ENDED SEPTEMBER

More information

Financial Results for the Fiscal Year Ended March 31, 2018

Financial Results for the Fiscal Year Ended March 31, 2018 Fiscal Year Financial Results for the Fiscal Year Ended March 31, 2018 NOK CORPORATION (7240 / TSE1) May 10, 2018 Disclaimer Any plans, targets, forecasts, strategies, policies and opinions relating to

More information

Consolidated Financial Results for the First Three Quarters of the Fiscal Year Ending March 31, 2018 [Japan GAAP]

Consolidated Financial Results for the First Three Quarters of the Fiscal Year Ending March 31, 2018 [Japan GAAP] Consolidated Financial Results for the First Three Quarters of the Fiscal Year Ending March 31, 2018 [Japan GAAP] Prepared in accordance with accounting principles generally accepted in Japan Translated

More information

KULICKE & SOFFA INDUSTRIES, INC. NASDAQ: KLIC JUNE QUARTER 2017 INVESTOR PRESENTATION

KULICKE & SOFFA INDUSTRIES, INC. NASDAQ: KLIC JUNE QUARTER 2017 INVESTOR PRESENTATION JUNE QUARTER 2017 INVESTOR PRESENTATION SAFE HARBOR STATEMENT In addition to historical statements, this press release contains statements relating to future events and our future results. These statements

More information

SHINKAWA LTD. (URL

SHINKAWA LTD. (URL Consolidated Financial Results for the Fiscal Year Ended SHINKAWA LTD. (URL https://www.shinkawa.com) May 14, 2018 Listing First Section of Tokyo Stock Exchange Security code 6274 Representative Takashi

More information

Earnings Release Q Samsung Electronics. January 2018

Earnings Release Q Samsung Electronics. January 2018 Earnings Release Q4 Samsung Electronics January 2018 Disclaimer The financial information in this document are consolidated earnings results based on K-IFRS. This document is provided for the convenience

More information

Lam Research Corporation

Lam Research Corporation Lam Research Corp. 7.27.2016 1 Lam Research Corporation June Quarter 2016 Financial Results July 27, 2016 Lam Research Corp. 7.27.2016 2 Cautionary Statement Regarding Forward-Looking Statements This presentation

More information

Ferrotec Holdings Corporation

Ferrotec Holdings Corporation 6890 TSE JASDAQ Analyst Noboru Terashima Index Summary----------------------------------------------------------------------------------------------------------------------------------------------------------------------------

More information

Analyst's Notes. Argus Recommendations

Analyst's Notes. Argus Recommendations NASDAQ: Report created Nov 20, 2017 Page 1 OF 6 Applied Materials produces semiconductor fabrication equipment, including products used in deposition, etching, ion implantation, metrology, wafer inspection

More information

Siltronic Investor Presentation. January 2019

Siltronic Investor Presentation. January 2019 Siltronic AG 2019 MARKET UPDATE Siltronic AG 2019 Siltronic is focused on growing 300 mm and attractive 200 mm business. Development of total wafer demand per diameter, in mn 300 mm equivalents per month

More information

Q Conference Call

Q Conference Call Q2 2017 Conference Call Veeco Instruments Inc. August 3, 2017 1 Investor Presentation Safe Harbor To the extent that this presentation discusses expectations or otherwise makes statements about the future,

More information

Filed by Nanometrics Incorporated Pursuant to Rule 425 under the Securities Act of 1933 and deemed filed pursuant to Rule 14a-12 under the Securities

Filed by Nanometrics Incorporated Pursuant to Rule 425 under the Securities Act of 1933 and deemed filed pursuant to Rule 14a-12 under the Securities Filed by Nanometrics Incorporated Pursuant to Rule 425 under the Securities Act of 1933 and deemed filed pursuant to Rule 14a-12 under the Securities Exchange Act of 1934 Commission File No. 000-13470

More information

Veeco Instruments Investor Presentation

Veeco Instruments Investor Presentation Veeco Instruments Investor Presentation November 1, 2018 Veeco Instruments, Inc. Safe Harbor To the extent that this presentation discusses expectations or otherwise makes statements about the future,

More information

No. 1 global market position in high-end vacuum valve technology

No. 1 global market position in high-end vacuum valve technology FOURTH QUARTER AND FULL-YEAR 2017 RESULTS No. 1 global market position in high-end vacuum valve technology March 12, 2018 1 Agenda 1 2 3 Highlights Heinz Kundert, CEO 1 Fourth quarter and full-year 2017

More information

Earnings Release Q Samsung Electronics. October 2017

Earnings Release Q Samsung Electronics. October 2017 Earnings Release Q3 Samsung Electronics October Disclaimer The financial information in this document are consolidated earnings results based on K-IFRS. This document is provided for the convenience of

More information

Stronger than expected demand drives ASML Q1 sales

Stronger than expected demand drives ASML Q1 sales Stronger than expected demand drives ASML Q1 sales Positive momentum expected to continue throughout 2017 ASML 2017 First-Quarter Results Veldhoven, the Netherlands Slide 2 Agenda Investor key messages

More information

ANNUAL REPORT 2006 For the Year Ended March 31, Innovative Spirit Geared for Growth

ANNUAL REPORT 2006 For the Year Ended March 31, Innovative Spirit Geared for Growth ANNUAL REPORT 2006 For the Year Ended March 31, 2006 Innovative Spirit Geared for Growth CONTENTS 1 TEL Values 2 Consolidated Financial Highlights 4 Tokyo Electron at a Glance 6 To Our Stakeholders Innovative

More information

Consolidated Financial Review for the First Quarter Ended June 30, 2008

Consolidated Financial Review for the First Quarter Ended June 30, 2008 Consolidated Financial Review for the First Quarter Ended June 30, 2008 Company name: URL: http://www.tel.com Telephone number: (03) 5561-7000 Stock exchange listing: Tokyo Stock Exchange 1st Section Code

More information

Lam Research Corporation September Quarter 2017 Financial Results

Lam Research Corporation September Quarter 2017 Financial Results Lam Research Corporation September Quarter 2017 Financial Results October 17, 2017 2017 Lam Research Corp. 10.17.2017 1 Cautionary Statement Regarding Forward-Looking Statements This presentation and the

More information

Capitalizing on Growth Opportunities

Capitalizing on Growth Opportunities Capitalizing on Growth Opportunities June 2018 Veeco Instruments, Inc. Bill Miller, PhD, President Safe Harbor To the extent that this presentation discusses expectations or otherwise makes statements

More information

Income before income taxes. Million yen. Million yen

Income before income taxes. Million yen. Million yen FY2018 First Quarter Consolidated Financial Results (Advantest s consolidated financial statements are prepared in accordance with IFRS) (Period ended June 30, 2018) July 25, 2018 Company Name : Advantest

More information

NASDAQ: KLIC QUARTERLY UPDATE. A WORLD OF OPPORTUNITY December 2018

NASDAQ: KLIC QUARTERLY UPDATE. A WORLD OF OPPORTUNITY December 2018 NASDAQ: KLIC QUARTERLY UPDATE A WORLD OF OPPORTUNITY December 2018 SAFE HARBOR In addition to historical statements, this presentation contains statements relating to future events and our future results.

More information

ASML reports 10.9 billion net sales and 2.6 billion net income in 2018 January 23, 2019

ASML reports 10.9 billion net sales and 2.6 billion net income in 2018 January 23, 2019 ASML reports 10.9 billion net sales and 2.6 billion net income in 2018 Sales growth expected in 2019 despite challenging environment Proposes to raise dividend by 50% ASML 2018 Fourth-Quarter and Full

More information

Earnings growth continues, driven by strong sales across full product portfolio

Earnings growth continues, driven by strong sales across full product portfolio Earnings growth continues, driven by strong sales across full product portfolio Continued EUV progress enables ASML roadmap acceleration ASML 2018 Second-Quarter Results Veldhoven, the Netherlands Slide

More information

Strong DUV demand drives solid Q1 results and confirms positive outlook for 2018

Strong DUV demand drives solid Q1 results and confirms positive outlook for 2018 Strong DUV demand drives solid Q1 results and confirms positive outlook for 2018 Multiple EUV orders, including High-NA, demonstrate further adoption of EUV technology ASML 2018 First-Quarter Results Veldhoven,

More information

Siltronic Roadshow Presentation. May / June 2018

Siltronic Roadshow Presentation. May / June 2018 Siltronic AG 2018 Financials improved strongly over the last years Adjusted 1 financial figures (EUR million) 2013 2014 2015 2016 2017 Q1 / 2018 Sales 875.5 853.4 931.3 933.4 1.177,3 327.4 EBIT (87.3)

More information

Semiconductor Manufacturing International Corporation

Semiconductor Manufacturing International Corporation 14 Semiconductor Manufacturing International Corporation http://www.smics.com 18 Zhangjiang Road Pudong New Area Shanghai, 201203 People s Republic of China NEWS RELEASE All currency figures stated in

More information