Analytics in 10 Micro-Seconds Using FPGAs. David B. Thomas Imperial College London

Size: px
Start display at page:

Download "Analytics in 10 Micro-Seconds Using FPGAs. David B. Thomas Imperial College London"

Transcription

1 Analytics in 10 Micro-Seconds Using FPGAs David B. Thomas Imperial College London

2 Overview 1. The case for low-latency computation 2. Quasi-Random Monte-Carlo in 10us 3. Binomial Trees in 10us 4. Observations 2

3 Numerical methods: Latency vs Throughput GPUs and FPGAs are often seen as quite different GPUs are high throughput FPGAs are low latency and/or power efficient Tends to match traditional areas of use in finance GPUs: Number crunching, analytics FPGAs: High Frequency Trading, data routing Recent tools provide throughput oriented FPGAs Streaming processing (e.g. Maxeler); OpenCL (Altera) 3

4 When is latency important? Network to network round-trips, e.g. HFT Not considered here CPU to accelerator round-trips Software makes call to hardware accelerated function Needed for many sophisticated solvers Calibration, bisection, minimisation, root-finding,... Intelligence on the CPU, evaluation on the accelerator Tight dependency between two parts 4

5 What can a GPU do in 10us? Reducing GPU Offload Latency via Fine-Grained CPU-GPU Synchronization, Daniel Lustig and Margaret Martonosi, dt10@ic.ac.uk Princeton, HPCA

6 How long is 10us? It s really not that long Two jumbo frames (~12000 bytes) over 10GigE About 30K scalar instructions on a 3GHz CPU 9M FLOPs on an NVidia GTX Titan (assuming peak perf.) 2500 Cycles in an 250MHz FPGA What can the platforms get done in 10us? GPU: Get the data over, start the kernel... run out of time. FPGA: Practical numerical computation dt10@ic.ac.uk 6

7 Option pricing as a numerical primitive Option pricing algorithms attempt to assess fair price e.g. How much is a call on MSFT with $50 strike worth? Three things determine the price of an option 1. M - A pricing model: e.g. Black-Scholes 2. O - Observed parameters: current stock price, interest rates 3. E - Estimated parameters: stock volatility, market sentiment Gives a unique and well-defined price p = P M (O,E) Difficult part is whether it can be calculated dt10@ic.ac.uk 7

8 How it s used in practise People rarely actually price options Can just look at the current bid/ask price at the exchange Much more common as part of an inverse problem We can collect all observable variables, including the price Then use the option pricer to get estimated variables Given p = P M (O,E), what is E? dt10@ic.ac.uk 8

9 Loop carried dependency float ImpliedVolBS(float S, float K, float r, float T, float price) { float sig_lo=0, sig_hi=10; while(sig_hi-sig_lo > THRESH){ float sig_mid=(sig_hi+sig_lo)/2; float price_mid=price(s, K, sig_mid, r, T); } if(price_mid>price) sig_hi=sig_mid; else sig_lo=sig_mid; } return (sig_lo+sig_hi)/2; dt10@ic.ac.uk 9

10 Practical pricing is complex Very few closed form models for option pricing Have to rely on numerical methods Numerical integration Finite difference p = P M (O,E) Binomial trees Monte-Carlo simulation dt10@ic.ac.uk 10

11 Method 1 : Low latency Monte-Carlo Monte-Carlo is a hard numerical method Generally has poor convergence RNGs often compute intensive Usually avoided if at all possible Some conventional wisdom: Avoid Monte-Carlo at all costs. Do not put Monte-Carlo in the middle of an optimiser. You cannot do Monte-Carlo in real-time. dt10@ic.ac.uk 11

12 How much Monte-Carlo is useful? Take a simple example: Asian option Let s assume it s discretely observed over t time-steps [mu,sigma]=setup(vol,r,dt); Scalar setup for i=1:n x=exp(mu+sigma*randn(t)); s=s0*cumprod(x); a=average(s); payoff=max(a-k,0); sum=sum+payoff; end price=sum/n; dt10@ic.ac.uk Task parallelism Data parallelism Loop dependency Intra-task reduction Inter-task reduction 12

13 Practical Quasi-MC : Brownian Bridges log(price) x T= t=8 dt10@ic.ac.uk 13

14 Practical Quasi-MC : Brownian Bridges log(price) t=8 dt10@ic.ac.uk 14

15 Practical Quasi-MC : Brownian Bridges log(price) t=8 dt10@ic.ac.uk 15

16 Practical Quasi-MC : Brownian Bridges log(price) t=8 dt10@ic.ac.uk 16

17 Practical Quasi-MC : Brownian Bridges log(price) t=8 dt10@ic.ac.uk 17

18 Spatial recursion QRNG(3) QRNG(2) QRNG(4) QRNG(1) r 3 r 2 r 4 L/2+N+R/2 r 3 x 2 r 4 L/2+N+R/2 L/2+N+R/2 x 1 x 2 x 3 dt10@ic.ac.uk 18

19 Spatial recursion QRNG(3) QRNG(2) QRNG(4) QRNG(1) r 3 r 2 r 4 L/2+N+R/2 r 3 x 2 r 4 L/2+N+R/2 L/2+N+R/2 x 1 x 2 x 3 dt10@ic.ac.uk 19

20 Spatial recursion QRNG(3) QRNG(2) QRNG(4) QRNG(1) r 3 r 2 r 4 L/2+N+R/2 r 3 x 2 r 4 L/2+N+R/2 L/2+N+R/2 x 1 x 2 x 3 dt10@ic.ac.uk 20

21 Spatial recursion QRNG(3) QRNG(2) QRNG(4) QRNG(1) r 3 r 2 r 4 L/2+N+R/2 r 3 x 2 r 4 L/2+N+R/2 L/2+N+R/2 x 1 x 2 x 3 dt10@ic.ac.uk 21

22 Push QRNGs close to ALUs QRNG(1) QRNG(2) L/2+N+N/2 QRNG(3) x 2 QRNG(4) L/2+N+N/2 L/2+N+N/2 x 1 x 2 x 3 dt10@ic.ac.uk 22

23 Specialise QRNGs for position QRNG 1 QRNG 2 L/2+N+N/2 QRNG 3 x 2 QRNG 4 L/2+N+N/2 L/2+N+N/2 x 1 x 2 x 3 dt10@ic.ac.uk 23

24 Specialise QRNGs for depth QRNG 1 QRNG 2 L/2+N+N/2 QR 3 x 2 QR 4 L/2+N+N/2 L/2+N+N/2 x 1 x 2 x 3 dt10@ic.ac.uk 24

25 Advantages of spatial parallelism QRNG 1 QRNG 2 L/2+N+N/2 QR 3 x 2 QR 4 L/2+N+N/2 L/2+N+N/2 x 1 x 2 x 3 Fully parallel: one simulation per clock cycle Start-up overhead of a few cycles to prime pipeline All data-path: no scheduling or control overhead dt10@ic.ac.uk 25

26 Building a full Monte-Carlo unit QRNG(3) QRNG(2) QRNG(4) QRNG(1) r 3 r 2 r 4 r 3 x 2 r 4 x 1 x 2 x 3 exp(.) exp(.) exp(.) exp(.) s 1 s 2 s 3 s a - dt10@ic.ac.uk price 26

27 Add statistics and we re done QRNG(3) QRNG(2) QRNG(4) QRNG(1) r 3 r 2 r 4 r 3 x 2 r 4 x 1 x 2 x 3 exp(.) exp(.) exp(.) exp(.) s 2 s 3 s 4 s a - price dt10@ic.ac.uk Update Statistics 27

28 Using up hardware One path unit does not require a whole FPGA Can instantiate as many parallel instances as will fit Can fit around 8 instances in slightly old FPGA Simulate around paths in 9us Remaining 1us goes on start-up costs Communications with CPU Calculating constants needed during simulation Filling and draining internal pipelines dt10@ic.ac.uk 28

29 Method 2 : Binomial Trees v(4,4) p(5) v(3,3) p(4) v(2,2) v(4,3) v(1,1) v(3,2) p(3) v(2,1) v(4,2) v(3,1) p(2) v(4,1) p(1) dt10@ic.ac.uk 29

30 In-place evaluation p(5) v(4,4) p(4) v(3,3) v(4,3) p(3) v(2,2) v(3,2) v(4,2) p(2) v(1,1) v(2,1) v(3,1) v(4,1) p(1) 30

31 Scalar Code v(4,4) p(5) p(4) function [p]=binomial(n,p) for i=1:n c(i)=setup(i,p); v(i)=payoff(i,p); end v(2,2) v(3,3) v(3,2) v(4,3) v(4,2) p(3) p(2) for t=1:n for i=1:t-1 vn(i)=node(v(i),v(i+1),c(i)); end v=vn; end v(1,1) v(2,1) v(3,1) v(4,1) p(1) return v(1); end 31

32 Vector Code p(5) function [p]=binomial(n,p) i=1:n-1; v(4,4) p(4) c(i)=setup(i,p); v(i)=payoff(i,p); v(2,2) v(3,3) v(3,2) v(4,3) v(4,2) p(3) p(2) for t=1:n v(i)=node(v(i),v(i+1),c(i)); end v(1,1) v(2,1) v(3,1) v(4,1) p(1) return curr(1); end 32

33 Choosing a vector size The problem is intrinsically SIMD GPU: choose according to local work group size Each node update is ten or so instructions FPGA: let s make the SIMD width the tree height dt10@ic.ac.uk 33

34 A basic binomial node * * + + dt10@ic.ac.uk 34

35 Register tree-wide constants * * + + dt10@ic.ac.uk 35

36 Choose SIMD width of 512 * * * * * * * * dt10@ic.ac.uk 36

37 Getting the tree constants into registers * * + * * dt10@ic.ac.uk 37

38 Result: systolic binomial tree Tree depth is limited only by resources Each node eval is 4 DSP blocks for 32-bit fixed-point We have no problem reaching n=512 in Virtex-7 Execution latency is 2*n*k + C n : depth of the tree k : depth of the node evaluation pipeline C : constant calculation pipeline depth 1 * n * k Calculate tree constants, move them into place 1 * n * k Evaluation the tree Choose n=512, k=6, f=300mhz : latency is 10us dt10@ic.ac.uk 38

39 FPGA Strengths: Global clock net GPUs are limited by point-to-point communication Global communication Global synchronisation A huge strength of FPGAs is the clock net Precisely schedule 1000s of DSPs over 1000s of clock cycles We have multiple bits of silicon on the same clock! Millions of maths primitives with a known schedule dt10@ic.ac.uk 39

40 FPGA Strengths: Sheer scale The bigger the FPGA, the more scaling helps Increases advantage of hierarchical composition Design effort in low-level primitives repaid Doubling the functional units: constant overhead One more layer on fan-out to create work One more layer on fan-in to collect results Not usually the case in GPUs more bus contention Can choose to spend it on performance or accuracy O(n -0.5 ) method : accuracy x 1.4, or latency.71 O(n -1 ) method : accuracy x 2.0, or latency.50 dt10@ic.ac.uk 40

41 Conclusion FPGAs are not only good for latency oriented tasks Because they can do latency, they also do throughput Can push into low-latency compute that GPUs cannot Low-latency does not mean simple Can complete (fairly) sophisticated Monte-Carlo in 5us Get throughput of 200K Monte-Carlos / sec Particularly useful on new platforms like Xilinx Zynq FPGA and ARM cores sat together on the same chip Good for optimisation, root finding, minimisation... dt10@ic.ac.uk 41

Accelerating Financial Computation

Accelerating Financial Computation Accelerating Financial Computation Wayne Luk Department of Computing Imperial College London HPC Finance Conference and Training Event Computational Methods and Technologies for Finance 13 May 2013 1 Accelerated

More information

Financial Risk Modeling on Low-power Accelerators: Experimental Performance Evaluation of TK1 with FPGA

Financial Risk Modeling on Low-power Accelerators: Experimental Performance Evaluation of TK1 with FPGA Financial Risk Modeling on Low-power Accelerators: Experimental Performance Evaluation of TK1 with FPGA Rajesh Bordawekar and Daniel Beece IBM T. J. Watson Research Center 3/17/2015 2014 IBM Corporation

More information

stratification strategy controlled by CPUs, to adaptively allocate the optimal number of simulations to a specific segment of the entire integration d

stratification strategy controlled by CPUs, to adaptively allocate the optimal number of simulations to a specific segment of the entire integration d FPGA-accelerated Monte-Carlo integration using stratified sampling and Brownian bridges Mark de Jong, Vlad-Mihai Sima and Koen Bertels Department of Computer Engineering Delft University of Technology

More information

Efficient Reconfigurable Design for Pricing Asian Options

Efficient Reconfigurable Design for Pricing Asian Options Efficient Reconfigurable Design for Pricing Asian Options Anson H.T. Tse, David B. Thomas, K.H. Tsoi, Wayne Luk Department of Computing Imperial College London, UK {htt08,dt10,khtsoi,wl}@doc.ic.ac.uk ABSTRACT

More information

F1 Acceleration for Montecarlo: financial algorithms on FPGA

F1 Acceleration for Montecarlo: financial algorithms on FPGA F1 Acceleration for Montecarlo: financial algorithms on FPGA Presented By Liang Ma, Luciano Lavagno Dec 10 th 2018 Contents Financial problems and mathematical models High level synthesis Optimization

More information

Reconfigurable Acceleration for Monte Carlo based Financial Simulation

Reconfigurable Acceleration for Monte Carlo based Financial Simulation Reconfigurable Acceleration for Monte Carlo based Financial Simulation G.L. Zhang, P.H.W. Leong, C.H. Ho, K.H. Tsoi, C.C.C. Cheung*, D. Lee**, Ray C.C. Cheung*** and W. Luk*** The Chinese University of

More information

Ultimate Control. Maxeler RiskAnalytics

Ultimate Control. Maxeler RiskAnalytics Ultimate Control Maxeler RiskAnalytics Analytics Risk Financial markets are rapidly evolving. Data volume and velocity are growing exponentially. To keep ahead of the competition financial institutions

More information

Barrier Option. 2 of 33 3/13/2014

Barrier Option. 2 of 33 3/13/2014 FPGA-based Reconfigurable Computing for Pricing Multi-Asset Barrier Options RAHUL SRIDHARAN, GEORGE COOKE, KENNETH HILL, HERMAN LAM, ALAN GEORGE, SAAHPC '12, PROCEEDINGS OF THE 2012 SYMPOSIUM ON APPLICATION

More information

Efficient Reconfigurable Design for Pricing Asian Options

Efficient Reconfigurable Design for Pricing Asian Options Efficient Reconfigurable Design for Pricing Asian Options Anson H.T. Tse, David B. Thomas, K.H. Tsoi, Wayne Luk Department of Computing Imperial College London, UK (htt08,dtl O,khtsoi,wl)@doc.ic.ac.uk

More information

Automatic Generation and Optimisation of Reconfigurable Financial Monte-Carlo Simulations

Automatic Generation and Optimisation of Reconfigurable Financial Monte-Carlo Simulations Automatic Generation and Optimisation of Reconfigurable Financial Monte-Carlo s David B. Thomas, Jacob A. Bower, Wayne Luk {dt1,wl}@doc.ic.ac.uk Department of Computing Imperial College London Abstract

More information

High Performance and Low Power Monte Carlo Methods to Option Pricing Models via High Level Design and Synthesis

High Performance and Low Power Monte Carlo Methods to Option Pricing Models via High Level Design and Synthesis High Performance and Low Power Monte Carlo Methods to Option Pricing Models via High Level Design and Synthesis Liang Ma, Fahad Bin Muslim, Luciano Lavagno Department of Electronics and Telecommunication

More information

Design of a Financial Application Driven Multivariate Gaussian Random Number Generator for an FPGA

Design of a Financial Application Driven Multivariate Gaussian Random Number Generator for an FPGA Design of a Financial Application Driven Multivariate Gaussian Random Number Generator for an FPGA Chalermpol Saiprasert, Christos-Savvas Bouganis and George A. Constantinides Department of Electrical

More information

Numerix Pricing with CUDA. Ghali BOUKFAOUI Numerix LLC

Numerix Pricing with CUDA. Ghali BOUKFAOUI Numerix LLC Numerix Pricing with CUDA Ghali BOUKFAOUI Numerix LLC What is Numerix? Started in 1996 Roots in pricing exotic derivatives Sophisticated models CrossAsset product Excel and SDK for pricing Expanded into

More information

Accelerating Quantitative Financial Computing with CUDA and GPUs

Accelerating Quantitative Financial Computing with CUDA and GPUs Accelerating Quantitative Financial Computing with CUDA and GPUs NVIDIA GPU Technology Conference San Jose, California Gerald A. Hanweck, Jr., PhD CEO, Hanweck Associates, LLC Hanweck Associates, LLC 30

More information

SPEED UP OF NUMERIC CALCULATIONS USING A GRAPHICS PROCESSING UNIT (GPU)

SPEED UP OF NUMERIC CALCULATIONS USING A GRAPHICS PROCESSING UNIT (GPU) SPEED UP OF NUMERIC CALCULATIONS USING A GRAPHICS PROCESSING UNIT (GPU) NIKOLA VASILEV, DR. ANATOLIY ANTONOV Eurorisk Systems Ltd. 31, General Kiselov str. BG-9002 Varna, Bulgaria Phone +359 52 612 367

More information

GPU-Accelerated Quant Finance: The Way Forward

GPU-Accelerated Quant Finance: The Way Forward GPU-Accelerated Quant Finance: The Way Forward NVIDIA GTC Express Webinar Gerald A. Hanweck, Jr., PhD CEO, Hanweck Associates, LLC Hanweck Associates, LLC 30 Broad St., 42nd Floor New York, NY 10004 www.hanweckassoc.com

More information

Applications of Dataflow Computing to Finance. Florian Widmann

Applications of Dataflow Computing to Finance. Florian Widmann Applications of Dataflow Computing to Finance Florian Widmann Overview 1. Requirement Shifts in the Financial World 2. Case 1: Real Time Margin 3. Case 2: FX Option Monitor 4. Conclusions Market Context

More information

Energy-Efficient FPGA Implementation for Binomial Option Pricing Using OpenCL

Energy-Efficient FPGA Implementation for Binomial Option Pricing Using OpenCL Energy-Efficient FPGA Implementation for Binomial Option Pricing Using OpenCL Valentin Mena Morales, Pierre-Henri Horrein, Amer Baghdadi, Erik Hochapfel, Sandrine Vaton Institut Mines-Telecom; Telecom

More information

Computational Finance. Computational Finance p. 1

Computational Finance. Computational Finance p. 1 Computational Finance Computational Finance p. 1 Outline Binomial model: option pricing and optimal investment Monte Carlo techniques for pricing of options pricing of non-standard options improving accuracy

More information

Near Real-Time Risk Simulation of Complex Portfolios on Heterogeneous Computing Systems with OpenCL

Near Real-Time Risk Simulation of Complex Portfolios on Heterogeneous Computing Systems with OpenCL Near Real-Time Risk Simulation of Complex Portfolios on Heterogeneous Computing Systems with OpenCL Javier Alejandro Varela, Norbert Wehn Microelectronic Systems Design Research Group University of Kaiserslautern,

More information

Algorithmic Differentiation of a GPU Accelerated Application

Algorithmic Differentiation of a GPU Accelerated Application of a GPU Accelerated Application Numerical Algorithms Group 1/31 Disclaimer This is not a speedup talk There won t be any speed or hardware comparisons here This is about what is possible and how to do

More information

Architecture Exploration for Tree-based Option Pricing Models

Architecture Exploration for Tree-based Option Pricing Models Architecture Exploration for Tree-based Option Pricing Models MEng Final Year Project Report Qiwei Jin qj04@doc.ic.ac.uk http://www.doc.ic.ac.uk/ qj04/project Supervisor: Prof. Wayne Luk 2nd Marker: Dr.

More information

PRICING AMERICAN OPTIONS WITH LEAST SQUARES MONTE CARLO ON GPUS. Massimiliano Fatica, NVIDIA Corporation

PRICING AMERICAN OPTIONS WITH LEAST SQUARES MONTE CARLO ON GPUS. Massimiliano Fatica, NVIDIA Corporation PRICING AMERICAN OPTIONS WITH LEAST SQUARES MONTE CARLO ON GPUS Massimiliano Fatica, NVIDIA Corporation OUTLINE! Overview! Least Squares Monte Carlo! GPU implementation! Results! Conclusions OVERVIEW!

More information

Hedging Strategy Simulation and Backtesting with DSLs, GPUs and the Cloud

Hedging Strategy Simulation and Backtesting with DSLs, GPUs and the Cloud Hedging Strategy Simulation and Backtesting with DSLs, GPUs and the Cloud GPU Technology Conference 2013 Aon Benfield Securities, Inc. Annuity Solutions Group (ASG) This document is the confidential property

More information

Accelerating Reconfigurable Financial Computing

Accelerating Reconfigurable Financial Computing Imperial College London Department of Computing Accelerating Reconfigurable Financial Computing Hong Tak Tse (Anson) Submitted in part fulfilment of the requirements for the degree of Doctor of Philosophy

More information

Many-core Accelerated LIBOR Swaption Portfolio Pricing

Many-core Accelerated LIBOR Swaption Portfolio Pricing 2012 SC Companion: High Performance Computing, Networking Storage and Analysis Many-core Accelerated LIBOR Swaption Portfolio Pricing Jörg Lotze, Paul D. Sutton, Hicham Lahlou Xcelerit Dunlop House, Fenian

More information

An Energy Efficient FPGA Accelerator for Monte Carlo Option Pricing with the Heston Model

An Energy Efficient FPGA Accelerator for Monte Carlo Option Pricing with the Heston Model 2011 International Conference on Reconfigurable Computing and FPGAs An Energy Efficient FPGA Accelerator for Monte Carlo Option Pricing with the Heston Model Christian de Schryver, Ivan Shcherbakov, Frank

More information

GRAPHICAL ASIAN OPTIONS

GRAPHICAL ASIAN OPTIONS GRAPHICAL ASIAN OPTIONS MARK S. JOSHI Abstract. We discuss the problem of pricing Asian options in Black Scholes model using CUDA on a graphics processing unit. We survey some of the issues with GPU programming

More information

Hardware Accelerators for Financial Mathematics - Methodology, Results and Benchmarking

Hardware Accelerators for Financial Mathematics - Methodology, Results and Benchmarking Hardware Accelerators for Financial Mathematics - Methodology, Results and Benchmarking Christian de Schryver #, Henning Marxen, Daniel Schmidt # # Micrelectronic Systems Design Department, University

More information

S4199 Effortless GPU Models for Finance

S4199 Effortless GPU Models for Finance ADAPTIV Risk management, risk-based pricing and operational solutions S4199 Effortless GPU Models for Finance 26 th March 2014 Ben Young Senior Software Engineer SUNGARD SunGard is one of the world s leading

More information

TEPZZ 858Z 5A_T EP A1 (19) (11) EP A1 (12) EUROPEAN PATENT APPLICATION. (43) Date of publication: Bulletin 2015/15

TEPZZ 858Z 5A_T EP A1 (19) (11) EP A1 (12) EUROPEAN PATENT APPLICATION. (43) Date of publication: Bulletin 2015/15 (19) TEPZZ 88Z A_T (11) EP 2 88 02 A1 (12) EUROPEAN PATENT APPLICATION (43) Date of publication: 08.04. Bulletin / (1) Int Cl.: G06Q /00 (12.01) (21) Application number: 13638.6 (22) Date of filing: 01..13

More information

HPC IN THE POST 2008 CRISIS WORLD

HPC IN THE POST 2008 CRISIS WORLD GTC 2016 HPC IN THE POST 2008 CRISIS WORLD Pierre SPATZ MUREX 2016 STANFORD CENTER FOR FINANCIAL AND RISK ANALYTICS HPC IN THE POST 2008 CRISIS WORLD Pierre SPATZ MUREX 2016 BACK TO 2008 FINANCIAL MARKETS

More information

HIGH PERFORMANCE COMPUTING IN THE LEAST SQUARES MONTE CARLO APPROACH. GILLES DESVILLES Consultant, Rationnel Maître de Conférences, CNAM

HIGH PERFORMANCE COMPUTING IN THE LEAST SQUARES MONTE CARLO APPROACH. GILLES DESVILLES Consultant, Rationnel Maître de Conférences, CNAM HIGH PERFORMANCE COMPUTING IN THE LEAST SQUARES MONTE CARLO APPROACH GILLES DESVILLES Consultant, Rationnel Maître de Conférences, CNAM Introduction Valuation of American options on several assets requires

More information

List of Abbreviations

List of Abbreviations List of Abbreviations (CM) 2 ACP AGP AJD ALU API ASIC ATA ATM AVX AXI BAR BIOS BLAST BM BS CAN CAPEX CDR CI CPU CRUD DAL Center for Mathematical and Computational Modelling. Accelerator Coherency Port.

More information

Outline. GPU for Finance SciFinance SciFinance CUDA Risk Applications Testing. Conclusions. Monte Carlo PDE

Outline. GPU for Finance SciFinance SciFinance CUDA Risk Applications Testing. Conclusions. Monte Carlo PDE Outline GPU for Finance SciFinance SciFinance CUDA Risk Applications Testing Monte Carlo PDE Conclusions 2 Why GPU for Finance? Need for effective portfolio/risk management solutions Accurately measuring,

More information

Real-Time Market Data Technology Overview

Real-Time Market Data Technology Overview Real-Time Market Data Technology Overview Zoltan Radvanyi Morgan Stanley Session Outline What is market data? Basic terms used in market data world Market data processing systems Real time requirements

More information

2.1 Mathematical Basis: Risk-Neutral Pricing

2.1 Mathematical Basis: Risk-Neutral Pricing Chapter Monte-Carlo Simulation.1 Mathematical Basis: Risk-Neutral Pricing Suppose that F T is the payoff at T for a European-type derivative f. Then the price at times t before T is given by f t = e r(t

More information

Quantitative Finance COURSE NUMBER: 22:839:510 COURSE TITLE: Numerical Analysis

Quantitative Finance COURSE NUMBER: 22:839:510 COURSE TITLE: Numerical Analysis Quantitative Finance COURSE NUMBER: 22:839:510 COURSE TITLE: Numerical Analysis COURSE DESCRIPTION Modern financial quantitative analysts play an essential role in an increasingly digital economy. This

More information

EFFICIENT MONTE CARLO ALGORITHM FOR PRICING BARRIER OPTIONS

EFFICIENT MONTE CARLO ALGORITHM FOR PRICING BARRIER OPTIONS Commun. Korean Math. Soc. 23 (2008), No. 2, pp. 285 294 EFFICIENT MONTE CARLO ALGORITHM FOR PRICING BARRIER OPTIONS Kyoung-Sook Moon Reprinted from the Communications of the Korean Mathematical Society

More information

Financial Mathematics and Supercomputing

Financial Mathematics and Supercomputing GPU acceleration in early-exercise option valuation Álvaro Leitao and Cornelis W. Oosterlee Financial Mathematics and Supercomputing A Coruña - September 26, 2018 Á. Leitao & Kees Oosterlee SGBM on GPU

More information

Monte Carlo Methods. Prof. Mike Giles. Oxford University Mathematical Institute. Lecture 1 p. 1.

Monte Carlo Methods. Prof. Mike Giles. Oxford University Mathematical Institute. Lecture 1 p. 1. Monte Carlo Methods Prof. Mike Giles mike.giles@maths.ox.ac.uk Oxford University Mathematical Institute Lecture 1 p. 1 Geometric Brownian Motion In the case of Geometric Brownian Motion ds t = rs t dt+σs

More information

Valuation of performance-dependent options in a Black- Scholes framework

Valuation of performance-dependent options in a Black- Scholes framework Valuation of performance-dependent options in a Black- Scholes framework Thomas Gerstner, Markus Holtz Institut für Numerische Simulation, Universität Bonn, Germany Ralf Korn Fachbereich Mathematik, TU

More information

Mark Redekopp, All rights reserved. EE 357 Unit 12. Performance Modeling

Mark Redekopp, All rights reserved. EE 357 Unit 12. Performance Modeling EE 357 Unit 12 Performance Modeling An Opening Question An Intel and a Sun/SPARC computer measure their respective rates of instruction execution on the same application written in C Mark Redekopp, All

More information

HyPER: A Runtime Reconfigurable Architecture for Monte Carlo Option Pricing in the Heston Model

HyPER: A Runtime Reconfigurable Architecture for Monte Carlo Option Pricing in the Heston Model HyPER: A Runtime Reconfigurable Architecture for Monte Carlo Option Pricing in the Heston Model Christian Brugger, Christian de Schryver and Norbert Wehn Microelectronic System Design Research Group, Department

More information

Monte Carlo Option Pricing

Monte Carlo Option Pricing Monte Carlo Option Pricing Victor Podlozhnyuk vpodlozhnyuk@nvidia.com Mark Harris mharris@nvidia.com Document Change History Version Date Responsible Reason for Change 1. 2/3/27 vpodlozhnyuk Initial release

More information

Application of High Performance Computing in Investment Banks

Application of High Performance Computing in Investment Banks British Computer Society FiNSG and APSG Public Application of High Performance Computing in Investment Banks Dr. Tony K. Chau Lead Architect, IB CTO, UBS January 8, 2014 Table of contents Section 1 UBS

More information

Appendix A Financial Calculations

Appendix A Financial Calculations Derivatives Demystified: A Step-by-Step Guide to Forwards, Futures, Swaps and Options, Second Edition By Andrew M. Chisholm 010 John Wiley & Sons, Ltd. Appendix A Financial Calculations TIME VALUE OF MONEY

More information

History of Monte Carlo Method

History of Monte Carlo Method Monte Carlo Methods History of Monte Carlo Method Errors in Estimation and Two Important Questions for Monte Carlo Controlling Error A simple Monte Carlo simulation to approximate the value of pi could

More information

Module 4: Monte Carlo path simulation

Module 4: Monte Carlo path simulation Module 4: Monte Carlo path simulation Prof. Mike Giles mike.giles@maths.ox.ac.uk Oxford University Mathematical Institute Module 4: Monte Carlo p. 1 SDE Path Simulation In Module 2, looked at the case

More information

Parallel Multilevel Monte Carlo Simulation

Parallel Multilevel Monte Carlo Simulation Parallel Simulation Mathematisches Institut Goethe-Universität Frankfurt am Main Advances in Financial Mathematics Paris January 7-10, 2014 Simulation Outline 1 Monte Carlo 2 3 4 Algorithm Numerical Results

More information

ELEMENTS OF MONTE CARLO SIMULATION

ELEMENTS OF MONTE CARLO SIMULATION APPENDIX B ELEMENTS OF MONTE CARLO SIMULATION B. GENERAL CONCEPT The basic idea of Monte Carlo simulation is to create a series of experimental samples using a random number sequence. According to the

More information

FINANCIAL OPTION ANALYSIS HANDOUTS

FINANCIAL OPTION ANALYSIS HANDOUTS FINANCIAL OPTION ANALYSIS HANDOUTS 1 2 FAIR PRICING There is a market for an object called S. The prevailing price today is S 0 = 100. At this price the object S can be bought or sold by anyone for any

More information

King s College London

King s College London King s College London University Of London This paper is part of an examination of the College counting towards the award of a degree. Examinations are governed by the College Regulations under the authority

More information

Risk Neutral Valuation

Risk Neutral Valuation copyright 2012 Christian Fries 1 / 51 Risk Neutral Valuation Christian Fries Version 2.2 http://www.christian-fries.de/finmath April 19-20, 2012 copyright 2012 Christian Fries 2 / 51 Outline Notation Differential

More information

Computational Efficiency and Accuracy in the Valuation of Basket Options. Pengguo Wang 1

Computational Efficiency and Accuracy in the Valuation of Basket Options. Pengguo Wang 1 Computational Efficiency and Accuracy in the Valuation of Basket Options Pengguo Wang 1 Abstract The complexity involved in the pricing of American style basket options requires careful consideration of

More information

1 Introduction. Term Paper: The Hall and Taylor Model in Duali 1. Yumin Li 5/8/2012

1 Introduction. Term Paper: The Hall and Taylor Model in Duali 1. Yumin Li 5/8/2012 Term Paper: The Hall and Taylor Model in Duali 1 Yumin Li 5/8/2012 1 Introduction In macroeconomics and policy making arena, it is extremely important to have the ability to manipulate a set of control

More information

Why know about performance

Why know about performance 1 Performance Today we ll discuss issues related to performance: Latency/Response Time/Execution Time vs. Throughput How do you make a reasonable performance comparison? The 3 components of CPU performance

More information

CUDA-enabled Optimisation of Technical Analysis Parameters

CUDA-enabled Optimisation of Technical Analysis Parameters CUDA-enabled Optimisation of Technical Analysis Parameters John O Rourke (Allied Irish Banks) School of Science and Computing Institute of Technology, Tallaght Dublin 24, Ireland Email: John.ORourke@ittdublin.ie

More information

Load Test Report. Moscow Exchange Trading & Clearing Systems. 07 October Contents. Testing objectives... 2 Main results... 2

Load Test Report. Moscow Exchange Trading & Clearing Systems. 07 October Contents. Testing objectives... 2 Main results... 2 Load Test Report Moscow Exchange Trading & Clearing Systems 07 October 2017 Contents Testing objectives... 2 Main results... 2 The Equity & Bond Market trading and clearing system... 2 The FX Market trading

More information

Stochastic Grid Bundling Method

Stochastic Grid Bundling Method Stochastic Grid Bundling Method GPU Acceleration Delft University of Technology - Centrum Wiskunde & Informatica Álvaro Leitao Rodríguez and Cornelis W. Oosterlee London - December 17, 2015 A. Leitao &

More information

Computational Finance Improving Monte Carlo

Computational Finance Improving Monte Carlo Computational Finance Improving Monte Carlo School of Mathematics 2018 Monte Carlo so far... Simple to program and to understand Convergence is slow, extrapolation impossible. Forward looking method ideal

More information

Domokos Vermes. Min Zhao

Domokos Vermes. Min Zhao Domokos Vermes and Min Zhao WPI Financial Mathematics Laboratory BSM Assumptions Gaussian returns Constant volatility Market Reality Non-zero skew Positive and negative surprises not equally likely Excess

More information

Assignment - Exotic options

Assignment - Exotic options Computational Finance, Fall 2014 1 (6) Institutionen för informationsteknologi Besöksadress: MIC, Polacksbacken Lägerhyddvägen 2 Postadress: Box 337 751 05 Uppsala Telefon: 018 471 0000 (växel) Telefax:

More information

RiskTorrent: Using Portfolio Optimisation for Media Streaming

RiskTorrent: Using Portfolio Optimisation for Media Streaming RiskTorrent: Using Portfolio Optimisation for Media Streaming Raul Landa, Miguel Rio Communications and Information Systems Research Group Department of Electronic and Electrical Engineering University

More information

The Binomial Model. Chapter 3

The Binomial Model. Chapter 3 Chapter 3 The Binomial Model In Chapter 1 the linear derivatives were considered. They were priced with static replication and payo tables. For the non-linear derivatives in Chapter 2 this will not work

More information

Anne Bracy CS 3410 Computer Science Cornell University

Anne Bracy CS 3410 Computer Science Cornell University Anne Bracy CS 3410 Computer Science Cornell University These slides are the product of many rounds of teaching CS 3410 by Professors Weatherspoon, Bala, Bracy, and Sirer. Complex question How fast is the

More information

New GPU Pricing Library

New GPU Pricing Library New GPU Pricing Library! Client project for Bank Sarasin! Highly regarded sustainable Swiss private bank! Founded 1841! Core business! Asset management! Investment advisory! Investment funds! Structured

More information

Implementing Models in Quantitative Finance: Methods and Cases

Implementing Models in Quantitative Finance: Methods and Cases Gianluca Fusai Andrea Roncoroni Implementing Models in Quantitative Finance: Methods and Cases vl Springer Contents Introduction xv Parti Methods 1 Static Monte Carlo 3 1.1 Motivation and Issues 3 1.1.1

More information

FPGA ACCELERATION OF MONTE-CARLO BASED CREDIT DERIVATIVE PRICING

FPGA ACCELERATION OF MONTE-CARLO BASED CREDIT DERIVATIVE PRICING FPGA ACCELERATION OF MONTE-CARLO BASED CREDIT DERIVATIVE PRICING Alexander Kaganov, Paul Chow Department of Electrical and Computer Engineering University of Toronto Toronto, ON, Canada M5S 3G4 email:

More information

Machine Learning for Quantitative Finance

Machine Learning for Quantitative Finance Machine Learning for Quantitative Finance Fast derivative pricing Sofie Reyners Joint work with Jan De Spiegeleer, Dilip Madan and Wim Schoutens Derivative pricing is time-consuming... Vanilla option pricing

More information

High throughput implementation of the new Secure Hash Algorithm through partial unrolling

High throughput implementation of the new Secure Hash Algorithm through partial unrolling High throughput implementation of the new Secure Hash Algorithm through partial unrolling Konstantinos Aisopos Athanasios P. Kakarountas Haralambos Michail Costas E. Goutis Dpt. of Electrical and Computer

More information

The Pennsylvania State University. The Graduate School. Department of Industrial Engineering AMERICAN-ASIAN OPTION PRICING BASED ON MONTE CARLO

The Pennsylvania State University. The Graduate School. Department of Industrial Engineering AMERICAN-ASIAN OPTION PRICING BASED ON MONTE CARLO The Pennsylvania State University The Graduate School Department of Industrial Engineering AMERICAN-ASIAN OPTION PRICING BASED ON MONTE CARLO SIMULATION METHOD A Thesis in Industrial Engineering and Operations

More information

Liangzi AUTO: A Parallel Automatic Investing System Based on GPUs for P2P Lending Platform. Gang CHEN a,*

Liangzi AUTO: A Parallel Automatic Investing System Based on GPUs for P2P Lending Platform. Gang CHEN a,* 2017 2 nd International Conference on Computer Science and Technology (CST 2017) ISBN: 978-1-60595-461-5 Liangzi AUTO: A Parallel Automatic Investing System Based on GPUs for P2P Lending Platform Gang

More information

Towards efficient option pricing in incomplete markets

Towards efficient option pricing in incomplete markets Towards efficient option pricing in incomplete markets GPU TECHNOLOGY CONFERENCE 2016 Shih-Hau Tan 1 2 1 Marie Curie Research Project STRIKE 2 University of Greenwich Apr. 6, 2016 (University of Greenwich)

More information

Reinforcement Learning

Reinforcement Learning Reinforcement Learning MDP March May, 2013 MDP MDP: S, A, P, R, γ, µ State can be partially observable: Partially Observable MDPs () Actions can be temporally extended: Semi MDPs (SMDPs) and Hierarchical

More information

The Binomial Lattice Model for Stocks: Introduction to Option Pricing

The Binomial Lattice Model for Stocks: Introduction to Option Pricing 1/33 The Binomial Lattice Model for Stocks: Introduction to Option Pricing Professor Karl Sigman Columbia University Dept. IEOR New York City USA 2/33 Outline The Binomial Lattice Model (BLM) as a Model

More information

ANALYSIS OF THE BINOMIAL METHOD

ANALYSIS OF THE BINOMIAL METHOD ANALYSIS OF THE BINOMIAL METHOD School of Mathematics 2013 OUTLINE 1 CONVERGENCE AND ERRORS OUTLINE 1 CONVERGENCE AND ERRORS 2 EXOTIC OPTIONS American Options Computational Effort OUTLINE 1 CONVERGENCE

More information

Pricing Asian Options

Pricing Asian Options Pricing Asian Options Maplesoft, a division of Waterloo Maple Inc., 24 Introduction his worksheet demonstrates the use of Maple for computing the price of an Asian option, a derivative security that has

More information

Computational Finance Binomial Trees Analysis

Computational Finance Binomial Trees Analysis Computational Finance Binomial Trees Analysis School of Mathematics 2018 Review - Binomial Trees Developed a multistep binomial lattice which will approximate the value of a European option Extended the

More information

Remarks on stochastic automatic adjoint differentiation and financial models calibration

Remarks on stochastic automatic adjoint differentiation and financial models calibration arxiv:1901.04200v1 [q-fin.cp] 14 Jan 2019 Remarks on stochastic automatic adjoint differentiation and financial models calibration Dmitri Goloubentcev, Evgeny Lakshtanov Abstract In this work, we discuss

More information

Contents Critique 26. portfolio optimization 32

Contents Critique 26. portfolio optimization 32 Contents Preface vii 1 Financial problems and numerical methods 3 1.1 MATLAB environment 4 1.1.1 Why MATLAB? 5 1.2 Fixed-income securities: analysis and portfolio immunization 6 1.2.1 Basic valuation of

More information

arxiv: v1 [cs.dc] 14 Jan 2013

arxiv: v1 [cs.dc] 14 Jan 2013 A parallel implementation of a derivative pricing model incorporating SABR calibration and probability lookup tables Qasim Nasar-Ullah 1 University College London, Gower Street, London, United Kingdom

More information

Option Pricing with the SABR Model on the GPU

Option Pricing with the SABR Model on the GPU Option Pricing with the SABR Model on the GPU Yu Tian, Zili Zhu, Fima C. Klebaner and Kais Hamza School of Mathematical Sciences, Monash University, Clayton, VIC3800, Australia Email: {yu.tian, fima.klebaner,

More information

Lecture 8: Skew Tolerant Design (including Dynamic Circuit Issues)

Lecture 8: Skew Tolerant Design (including Dynamic Circuit Issues) Lecture 8: Skew Tolerant Design (including Dynamic Circuit Issues) Computer Systems Laboratory Stanford University horowitz@stanford.edu Copyright 2007 by Mark Horowitz w/ material from David Harris 1

More information

Numerical Methods in Option Pricing (Part III)

Numerical Methods in Option Pricing (Part III) Numerical Methods in Option Pricing (Part III) E. Explicit Finite Differences. Use of the Forward, Central, and Symmetric Central a. In order to obtain an explicit solution for the price of the derivative,

More information

Lecture outline. Monte Carlo Methods for Uncertainty Quantification. Importance Sampling. Importance Sampling

Lecture outline. Monte Carlo Methods for Uncertainty Quantification. Importance Sampling. Importance Sampling Lecture outline Monte Carlo Methods for Uncertainty Quantification Mike Giles Mathematical Institute, University of Oxford KU Leuven Summer School on Uncertainty Quantification Lecture 2: Variance reduction

More information

Multi-level Stochastic Valuations

Multi-level Stochastic Valuations Multi-level Stochastic Valuations 14 March 2016 High Performance Computing in Finance Conference 2016 Grigorios Papamanousakis Quantitative Strategist, Investment Solutions Aberdeen Asset Management 0

More information

Creating Internal Transparency to Forecast Workforce Needs

Creating Internal Transparency to Forecast Workforce Needs Creating Internal Transparency to Forecast Workforce Needs Robert D. Motion Director, Workforce Planning & Strategy Intelligence, Information & Services November 17, 201 Copyright 201 Raytheon Company.

More information

Numerical schemes for SDEs

Numerical schemes for SDEs Lecture 5 Numerical schemes for SDEs Lecture Notes by Jan Palczewski Computational Finance p. 1 A Stochastic Differential Equation (SDE) is an object of the following type dx t = a(t,x t )dt + b(t,x t

More information

Lecture 17. The model is parametrized by the time period, δt, and three fixed constant parameters, v, σ and the riskless rate r.

Lecture 17. The model is parametrized by the time period, δt, and three fixed constant parameters, v, σ and the riskless rate r. Lecture 7 Overture to continuous models Before rigorously deriving the acclaimed Black-Scholes pricing formula for the value of a European option, we developed a substantial body of material, in continuous

More information

Monte Carlo Methods for Uncertainty Quantification

Monte Carlo Methods for Uncertainty Quantification Monte Carlo Methods for Uncertainty Quantification Abdul-Lateef Haji-Ali Based on slides by: Mike Giles Mathematical Institute, University of Oxford Contemporary Numerical Techniques Haji-Ali (Oxford)

More information

An Experimental Study of the Behaviour of the Proxel-Based Simulation Algorithm

An Experimental Study of the Behaviour of the Proxel-Based Simulation Algorithm An Experimental Study of the Behaviour of the Proxel-Based Simulation Algorithm Sanja Lazarova-Molnar, Graham Horton Otto-von-Guericke-Universität Magdeburg Abstract The paradigm of the proxel ("probability

More information

MFE/3F Questions Answer Key

MFE/3F Questions Answer Key MFE/3F Questions Download free full solutions from www.actuarialbrew.com, or purchase a hard copy from www.actexmadriver.com, or www.actuarialbookstore.com. Chapter 1 Put-Call Parity and Replication 1.01

More information

3. Monte Carlo Simulation

3. Monte Carlo Simulation 3. Monte Carlo Simulation 3.7 Variance Reduction Techniques Math443 W08, HM Zhu Variance Reduction Procedures (Chap 4.5., 4.5.3, Brandimarte) Usually, a very large value of M is needed to estimate V with

More information

Math Computational Finance Option pricing using Brownian bridge and Stratified samlping

Math Computational Finance Option pricing using Brownian bridge and Stratified samlping . Math 623 - Computational Finance Option pricing using Brownian bridge and Stratified samlping Pratik Mehta pbmehta@eden.rutgers.edu Masters of Science in Mathematical Finance Department of Mathematics,

More information

Multilevel quasi-monte Carlo path simulation

Multilevel quasi-monte Carlo path simulation Multilevel quasi-monte Carlo path simulation Michael B. Giles and Ben J. Waterhouse Lluís Antoni Jiménez Rugama January 22, 2014 Index 1 Introduction to MLMC Stochastic model Multilevel Monte Carlo Milstein

More information

quan OPTIONS ANALYTICS IN REAL-TIME PROBLEM: Industry SOLUTION: Oquant Real-time Options Pricing

quan OPTIONS ANALYTICS IN REAL-TIME PROBLEM: Industry SOLUTION: Oquant Real-time Options Pricing OPTIONS ANALYTICS IN REAL-TIME A major aspect of Financial Mathematics is option pricing theory. Oquant provides real time option analytics in the cloud. We have developed a powerful system that utilizes

More information

Calibrating to Market Data Getting the Model into Shape

Calibrating to Market Data Getting the Model into Shape Calibrating to Market Data Getting the Model into Shape Tutorial on Reconfigurable Architectures in Finance Tilman Sayer Department of Financial Mathematics, Fraunhofer Institute for Industrial Mathematics

More information

Financial Computing with Python

Financial Computing with Python Introduction to Financial Computing with Python Matthieu Mariapragassam Why coding seems so easy? But is actually not Sprezzatura : «It s an art that doesn t seem to be an art» - The Book of the Courtier

More information

Asian Option Pricing: Monte Carlo Control Variate. A discrete arithmetic Asian call option has the payoff. S T i N N + 1

Asian Option Pricing: Monte Carlo Control Variate. A discrete arithmetic Asian call option has the payoff. S T i N N + 1 Asian Option Pricing: Monte Carlo Control Variate A discrete arithmetic Asian call option has the payoff ( 1 N N + 1 i=0 S T i N K ) + A discrete geometric Asian call option has the payoff [ N i=0 S T

More information