Key Takeaways from 2014 SPIE and Meeting w/ ASML Management

Similar documents
International Optionality - 3Q Earnings Recap

ASML reports Q2 as guided and raises 2013 sales outlook Cymer consolidated for month of June

ASML 2008 First Quarter Results

ASML Q2 sales as guided, gross margin tops guided range Full-year sales impacted by timing of logic capacity ramp

Pilot Retirements Accelerate Beginning In 2021 & Peak In 2025

ASML reports first-quarter sales and gross margin in line with guidance Strong Q2 outlook underpinned by 10 nanometer logic ramp

Deutsche Bank Access European TMT Conference London

ASML confirms full-year sales guidance, supported by solid backlog

Earnings growth continues, driven by strong sales across full product portfolio

ASML. Analyst: Recommendation: BUY DATE: Industry: Semiconductor

CISCO SYSTEMS FY1Q19: CISCO TO THE RESCUE

ASML Q1 net sales in line with guidance at 1.65 billion, gross margin 47.2%

ASML 2007 Annual and Fourth Quarter Results

Daily Chip Clips EQUITY RESEARCH INDUSTRY UPDATE. May 23, 2016 TECHNOLOGY/SEMICONDUCTORS & COMPONENTS

Peter Wennink Good morning / good afternoon ladies and gentlemen, and thank you for joining us for our Q4 and 2017 annual results conference call.

Stronger than expected demand drives ASML Q1 sales

ASML reports 2.8 billion sales in Q3, expects 3 billion sales in Q4

Initiation: An Emerging ASX Listed Eagle Ford Operator

ASML reports 10.9 billion net sales and 2.6 billion net income in 2018 January 23, 2019

Strong DUV demand drives solid Q1 results and confirms positive outlook for 2018

Manulife Financial Corp.

ASML to Acquire Cymer to Accelerate Development of EUV Technology

Homebuilding & Building Products

Fastener Distributor Index March 2017

O'Reilly Automotive, Inc. Quick Read: Weather Likely Weighed Upon Sales a Bit

Takeaways from Bullish Battery Analyst Day

PICC Group (1339 HK)

AutoZone, Inc. EQUITY RESEARCH QUARTERLY UPDATE OUTPERFORM. Quick Read: Sales a Bit Soft, But Results Solid. September 22, 2016

Dollarama Inc. Q4 F2017 Results. A straight-forward beat and other notable business updates HIGHLIGHTS. The NBF Daily Bulletin.

Fastener Distributor Index April 2017

Precision Equipment Obstacles for EUVL

Daily Chip Clips EQUITY RESEARCH INDUSTRY UPDATE. August 14, 2015 TECHNOLOGY/SEMICONDUCTORS & COMPONENTS

SodaStream Follow-Up: 1Q Results Better Than Expected; Guidance Raised; Wal-Mart!!!

Apple Inc. EQUITY RESEARCH ESTIMATE CHANGE. Buy. AAPL - NASDAQ November 3, Enterprise & Consumer Technology

FIRST GLANCE COMMENT. Enbridge Inc. (TSX: ENB; 36.69; NYSE: ENB) Q1/07 Results Generally In Line. Sector Perform Average Risk. Impact Neutral.

CEVA Inc. CEVA - $ NASDAQ Buy

VIX to Fall; Stocks to Rise; Small to Outperform

Technical Analysis: Market Insight

[Please refer to Appendix. Wells Fargo & Company (WFC) Q2 Initial Thoughts: Revenue Trends Modestly Below Expectations RESEARCH UPDATE

Daily Chip Clips EQUITY RESEARCH INDUSTRY UPDATE. December 2, 2015 TECHNOLOGY/SEMICONDUCTORS & COMPONENTS

20th Annual Needham Growth Conference

Daphne (210 HK) Hold (maintained) Target price: HK$1.07. Takeaways from company visit. Equity Research Consumer Discretionary.

Enbridge Energy Partners, L.P.

Jefferies Group Another Hack Attack

In the News: Wearables Early Signs of Motion Sensor Migration

Anta Sports (2020 HK)

Arcimoto, Inc. FUV - $ NASDAQ Buy

STEC Inc. Bringing Out the Big Gun--2H Contract Ups Visibility, Ests, PT, Everything

Elliott Smith, CFA

Annual General Shareholders Meeting

LG International (001120) Poor 3Q expected to be just a blip WHAT S THE STORY? SUMMARY OF 3Q RESULTS

Applied Materials, Inc.

The Medicines Company Model Update; Busy Catalyst Calendar Ahead

ASML - Summary IFRS-EU Consolidated Statement of Profit or Loss 1,2

Semiconductor equipment

ASML - Summary IFRS Consolidated Statement of Profit or Loss 1,2

Nanometrics Investor Presentation. CEO Investor Summit July 2014

Arcimoto, Inc. FUV - $ NASDAQ Buy

Fastener Distributor Index December 2017

Active management headwinds:

KBRO KAUFMAN BROS. MORNING EXCHANGE. July 10, In Today's Morning Exchange:

Investor Presentation

LAIDLAW & COMPANY Est. 1842

Market Maps. Bob Dickey, Technical Analyst. June 2016

The Ensign Group, Inc. (NASDAQ:ENSG)

ASML Holding N.V. Admission to listing and trading on NYSE Euronext in Amsterdam of ordinary shares

ASML reports EUR 2.2 billion sales at 41.6% gross margin in Q view unchanged

Nanometrics Investor Presentation Q4 2014

Automotive Aftermarket Retailers

Deconstructing Dividends: Five Reasons to Consider Small- and Mid-Cap Dividend-Paying Stocks

Pep Boys - Manny, Moe & Jack Rating: Buy

Bill McClean President IC Insights, Inc.

SodaStream Follow-Up: Very Strong 4Q Results Reflect Broad-Based Growth; Estimates Raised

Big Lots Inc. NEUTRAL ZACKS CONSENSUS ESTIMATES (BIG-NYSE) SUMMARY

Deere & Company NEUTRAL ZACKS CONSENSUS ESTIMATES (DE-NYSE)

ASML - Summary US GAAP Consolidated Statements of Operations 1,2

Simmtech (222800) Focus on 2H earnings WHAT S THE STORY?

MGM Resorts International Global Gaming Operators MGM NYSE $34.47 Company Update

ASML Posts Record Full-Year 2014 Sales of EUR 5.86 billion; Announces New EUR 1 bln Share Buyback Program

Kirkland s Inc OUTPERFORM ZACKS CONSENSUS ESTIMATES (KIRK-NASDAQ) SUMMARY

Equity Research. January Metro Permits Data. February 27, Housing

Citi Dynamic Asset Selector 5 Excess Return Index

TAV Airports EARNINGS REVIEW 4Q17. Bottom-line below consensus BUY TRY22.90

Broadband Stakeholder Group

Not For Investment Purposes

ASM International NV Apr il 2017

Market Maps. April 2016 Bob Dickey, Technical Analyst. RBC Capital Markets, LLC / Portfolio Advisory Group U.S. Equities.

All you need to know about the Golden Cross

To receive this report via , please go to:

Luk Fook (590 HK) Strong 1Q gem-set SSS in China. Core profit (HK$ m) Net profit (HK$ m) Turnover (HK$ m)

MGM Growth Properties LLC Casino REITs MGP NYSE $26.40 Company Update

Investor Presentation 30 th Annual ROTH Conference. Dr. Pierre-Yves Lesaicherre, President and CEO

Frac Sand. A View from the Stock Market. November 2014 Frac Sand Insider Conference

Canadian Natural Resources Ltd.

STMicroelectronics N.V.

Moody s/real Commercial Property Price Indices, December 2010

SPECULATIVE BUY Target: C$1.40. Event. Impact. Action

Company Report. TCL Comm (2618 HK) Strong FY15E ahead backed by solid product roadmap in smartphone/wearables/apps/cloud; Reiterate BUY BUY

Anta Sports (2020 HK)

Transcription:

Equity Research March 3, 2014 Technology, Media & Telecom: Semiconductor Capital Equipment Technology, Media & Telecom: Semiconductors Timothy Arcuri 415.646.7217 timothy.arcuri@cowen.com Kenneth Lee 415.646.7219 kenneth.lee@cowen.com Gowrishankar Subramanian 415.646.7215 shankar.subramanian@cowen.com Industry Quick Take Key Takeaways from 2014 SPIE and Meeting w/ ASML Management The Cowen Insight We met with ASML mgmt and toured both the EUV and immersion tool manufacturing facility in Veldhoven, Netherlands. Overall, ASML continues to operate to a financial model that implies ~E9B revs and ~E7/share of peak EPS in the 2017/2018 timeframe. More near term, ASML's order book should support a strong CQ3 but CQ4 is questionable as 2H:14 16/14nm ramp at Samsung/GF remains at risk. Update from Meeting w/ ASML Management in Veldhoven, Netherlands We met with ASML( 61.14,Outperform, 80 PT) management and toured both the EUV and immersion tool manufacturing facility in Veldhoven, Netherlands. Overall, ASML continues to operate to a financial model that implies ~E9B revs and ~E7/ share of peak EPS in the 2017/2018 timeframe. This revenue model assumes ~E5B EUV (50 systems @ E100MM), E1.5B service, E1.5B legacy litho tools (a combination of primarily immersion and KrF for non-critical layers), and E1B holistic litho (a combination of hardware/software). More near-term, the company is overall suggesting that EUV ramp issues (at least to the 80wph level) have shifted from science" to industrialization, in other words, it is now a question of time given logistics and learning curve as the new MOPA upgrades are rolled out to the field for existing tools and those that have yet to ship. With respect to follow-on orders, the company continues to suggest TSMC only requires ~100 wafers/day throughput to commit to follow-on 3350NXE orders in 2H:14 for 10nm development. Given the current tool throughput that should be in the ~15wph range with stable dose control following the field upgrade (and uptime that ASML says has improved to ~80% - albeit in its own factory) uptime improvements are fairly pedestrian to start to finally secure a next batch of orders later this year. A more meaningful achievement remains 500 wafers/day which is achievable with ~60-70 wph throughput running at ~70% uptime); this remains a ways away pending a broader rollout of reliability, power and performance upgrades. From a business perspective, in addition to its standing 1H:14 guidance, our work still indicates ASML s order book should also support a strong CQ3 but CQ4 does remain somewhat of a question as TSMC has already taken delivery of ~50k wsm of 20nm capacity in 2H:13, 14nm ramp at INTC($24.76, Market Perform, $23.50 PT) continues to slide a little bit, and Samsung and GF do not appear all that close to locking down 16/14nm processes sufficiently to place litho orders before 2H:14 for shipment in 1H:15. Key Takeaways From 2014 SPIE Lithography Conference This week we attended SPIE Advanced Lithography 2014 in San Jose, CA - the largest tradeshow for lithography related to the semiconductor industry. The main updates were incremental improvement to source data from Cymer/ASML, EUV progress updates from customer (TSMC, Intel) and a lot of updates on alternative lithography Please see addendum of this report for important disclosures.

techniques (DSA, Self aligned multi patterning, e-beam, Nano imprint). While TSMC/ Intel reiterated their existing EUV insertion timeline of late 10nm/7nm respectively, our work suggests that TSMC will mostly likely insert in 7nm (~2019). With respect to INTC, its tone on EUV has changed slightly as the door sounds more open for EUV insertion at 7nm (2019) relative to prior suggestion that it didn t really need EUV until 5nm. More importantly, 7nm design rules are expected to include more self-aligned patterning (vs. current lithography intensive double patterning process), with more etch/dep steps than litho steps, a positive for AMAT($18.96, Outperform, $20 PT)/ LRCX($51.73, Outperform,$58 PT). From fabless customer perspective, Qualcomm highlighted the increasing patterning challenges associated with sub 28nm designs and noted the design complexity has increased the cost/wafer significantly (20/14nm 2.5x 65nm and 10nm 1.4x 20nm). The company highlighted that cost is the most important factor that drives design optimization as $ value of SoC is relatively fixed (Average SoC ASP ~$30 w/ advanced chips <$50). More importantly, Qualcomm noted that the new technology product design will be ready ~1 year after manufacturing process is ready, which in our view implies that Qualcomm will most likely ramp 16/14nm products in 2H:15/1H:16 as 16/14nm technology development is still ongoing at TSMC/Samsung. EUV source update Compared to SPIE 2013, ASML s progress in source power (30W in production mode @ customer site and demonstrating 70wph (source: 105W) capability by YE2014) is ~6 months delayed but the company, in our view, has made some progress on source power and overall EUV system CoO. The NXE3300 MOPA PP field upgrade in CQ1:14 is expected to increase the source power from 10W to 30W (~15wph from 8wph previously), just enough for customers (TSMC/Intel) to start 10nm/7nm technology development work. Relative to a year ago, ASML is also more confident of implementing 80W/55wph in production in 2014, largely driven by the use of new dose control system and improved system efficiency (CE>5%). More importantly, insitu Hydrogen cleaning of collectors looked promising w/ a potential to reduce cost of ownership significantly (higher tool availability 40-60%) by extending collector tool life (> 6 months) but field upgrades may not happen until 2H:14 as customers remain focused on technology development over CoO improvements now. While the introduction of EUV in HVM largely depends on relative cost per wafer (EUV vs. alternatives), ASML still remains confident that EUV would be used for 1-2 layers (in our view BEOL Mx layers) at 10nm (late insertion - ~2018 in our view) by TSMC/ Samsung and full production ramp at 7nm (~2019, in our view) by TSMC/Samsung/ Intel. Alternative Lithography Updates While many alternative techniques were presented, in our view, DSA (Directed Self Assembly) and Self-aligned multiple patterning (SADP/SAQP) looked more promising from a year ago. DSA ecosystem has made significant progress, especially on defects (down by a factor of 100) but our work suggests that DSA is not expected to replace EUV but rather remain a complementary technology w/ applications limited to contact holes at 10nm and potentially L/S at 7nm. Self-aligned multiple patterning is viewed as a potential replacement of EUV in certain critical layers (M1) especially if EUV throughput is less than 85 wph. On e-beam, while the technology looks promising especially for contact hole applications, in our view, there has not been much progress made on this front compared to last SPIE. Relative to Nano imprint technology, 2

Molecular Imprint (now part of Canon) noted that its technology will be used in advanced memory technologies (15nm Planar NAND) by Toshiba in 2015 (see our note Assessing Impact from Canon Buying Molecular Imprintspublished 2/13/2014). However, we continue to believe that Nano imprint technology is not mature enough to replace immersion or EUV in the near future, especially in foundry/logic markets, as company data suggests that 2015 throughput could at best reach ~10-20wph (vs. immersion throughput ~250 wph) and defects/cm2 still much higher than existing non-contact lithography processes. Competitive dynamics in immersion (193i) lithography Nikon presented their immersion update on Sunday in a separate company event (Nikon Vision). Nikon will introduce 630D into market in 2014 with improved throughput (250wph) vs. 622D of >200 wph and overlay capability (single tool -1.7nm) vs. 622D of <2nm. Interestingly, Nikon didn t update the MMO overlay capability (immersion tool to EUV tool), which in our view could imply that Nikon immersion tool would mostly likely be used standalone only (only at Intel, in our view). On 450mm, while ASML has effectively stopped investing in 450mm tool (per Intel s request based on company filings), Nikon is continuing to develop 450mm tools and expected to ship first tools to G450C in 2015.While the delay in EUV adoption has given Nikon some breathing room, we continue to remain skeptical on Nikon gaining litho share at TSMC/Samsung given a) Nikon s history of overpromising on performance but under delivering and b) more importantly, the high barriers to entry created by ASML s holistic litho features. 3

Valuation Methodology And Risks Valuation Methodology Semiconductor Capital Equipment: Our valuation methodology is primarily based on forward P/E multiples plus cash followed by EV/EBITDA. In many cases, we use EV/sales as a third methodology. Semiconductors: Our valuation methodology is primarily based on forward P/E multiples plus cash followed by EV/EBITDA. In many cases, we use EV/sales as a third methodology. Investment Risks Semiconductor Capital Equipment: The semiconductor capital equipment industry is cyclical and can be impacted by slow-down in spending for manufacturing capacity and adoption of new technologies. The industry has seen consolidation among its customers base with the top 5 accounting for ~70% of industry capital expenditures. Any material change in a customer s spending could impact our forecasts. Semiconductors: The semiconductor industry is cyclical and has strong correlation to global GDP. If global growth slows, consumer demand and IT spending could impact our forecasts. Additionally, pricing pressure is severe in certain parts of the market, particular those that are consumer focused. 4

Addendum Stocks Mentioned In Important Disclosures Ticker AMAT ASML.NA INTC LRCX Company Name Applied Materials ASML Holding NV Intel Lam Research Analyst Certification Each author of this research report hereby certifies that (i) the views expressed in the research report accurately reflect his or her personal views about any and all of the subject securities or issuers, and (ii) no part of his or her compensation was, is, or will be related, directly or indirectly, to the specific recommendations or views expressed in this report. Important Disclosures Cowen and Company, LLC and or its affiliates make a market in the stock of Applied Materials, ASML Holding NV, Intel and Lam Research securities. Cowen and Company, LLC compensates research analysts for activities and services intended to benefit the firm's investor clients. Individual compensation determinations for research analysts, including the author(s) of this report, are based on a variety of factors, including the overall profitability of the firm and the total revenue derived from all sources, including revenues from investment banking. Cowen and Company, LLC does not compensate research analysts based on specific investment banking transactions. Disclaimer This research is for our clients only. Our research is disseminated primarily electronically and, in some cases, in printed form. Research distributed electronically is available simultaneously to all Cowen and Company, LLC clients. All published research can be obtained on the Firm's client website, https://cowenlibrary.bluematrix.com/client/library.jsp. Further information on any of the above securities may be obtained from our offices. This report is published solely for information purposes, and is not to be construed as an offer to sell or the solicitation of an offer to buy any security in any state where such an offer or solicitation would be illegal. Other than disclosures relating to Cowen and Company, LLC, the information herein is based on sources we believe to be reliable but is not guaranteed by us and does not purport to be a complete statement or summary of the available data. Any opinions expressed herein are statements of our judgment on this date and are subject to change without notice. For important disclosures regarding the companies that are the subject of this research report, please contact Compliance Department, Cowen and Company, LLC, 599 Lexington Avenue, 20th Floor, New York, NY 10022. In addition, the same important disclosures, with the exception of the valuation methods and risks, are available on the Firm's disclosure website at https://cowen.bluematrix.com/sellside/disclosures.action. Price Targets: Cowen and Company, LLC assigns price targets on all covered companies unless noted otherwise. The price target for an issuer's stock represents the value that the analyst reasonably expects the stock to reach over a performance period of twelve months. The price targets in this report should be considered in the context of all prior published Cowen and Company, LLC research reports (including the disclosures in any such report or on the Firm's disclosure website), which may or may not include price targets, as well as developments relating to the issuer, its industry and the financial markets. For price target valuation methodology and risks associated with the achievement of any given price target, please see the analyst's research report publishing such targets. Notice to UK Investors: This publication is produced by Cowen and Company, LLC which is regulated in the United States by FINRA. It is to be communicated only to persons of a kind described in Articles 19 and 49 of the Financial Services and Markets Act 2000 (Financial Promotion) Order 2005. It must not be further transmitted to any other person without our consent. Copyright, User Agreement and other general information related to this report 2014 Cowen and Company, LLC. Member NYSE, FINRA and SIPC. All rights reserved. This research report is prepared for the exclusive use of Cowen clients and may not be reproduced, displayed, modified, distributed, transmitted or disclosed, in whole or in part, or in any form or manner, to others outside your organization without the express prior written consent of Cowen. Cowen research reports are distributed simultaneously to all clients eligible to receive such research reports. Any unauthorized use or disclosure is prohibited. Receipt and/or review of this research constitutes your agreement not to reproduce, display, modify, distribute, transmit, or disclose to others outside your organization the contents, opinions, conclusion, or information contained in this report (including any investment recommendations, estimates or price targets). All Cowen trademarks displayed in this report are owned by Cowen and may not be used without its prior written consent. Cowen and Company, LLC. New York (646) 562-1000 Boston (617) 946-3700 San Francisco (415) 646-7200 Chicago (312) 577-2240 Cleveland (440) 331-3531 Atlanta (866) 544-7009 London (affiliate) 44-207-071-7500 COWEN AND COMPANY RATING DEFINITIONS Cowen and Company Rating System effective May 25, 2013 Outperform (1): The stock is expected to achieve a total positive return of at least 15% over the next 12 months Market Perform (2): The stock is expected to have a total return that falls between the parameters of an Outperform and Underperform over the next 12 months Underperform (3): Stock is expected to achieve a total negative return of at least 10% over the next 12 months Assumption: The expected total return calculation includes anticipated dividend yield Cowen and Company Rating System until May 25, 2013 Outperform (1): Stock expected to outperform the S&P 500 Neutral (2): Stock expected to perform in line with the S&P 500 Underperform (3): Stock expected to underperform the S&P 500 Assumptions: Time horizon is 12 months; S&P 500 is flat over forecast period Cowen Securities, formerly known as Dahlman Rose & Company, Rating System until May 25, 2013 Buy The fundamentals/valuations of the subject company are improving and the investment return is expected to be 5 to 15 percentage points higher than the general market return Sell The fundamentals/valuations of the subject company are deteriorating and the investment return is expected to be 5 to 15 percentage points lower than the general market return 5

Hold The fundamentals/valuations of the subject company are neither improving nor deteriorating and the investment return is expected to be in line with the general market return Cowen And Company Rating Definitions Distribution of Ratings/Investment Banking Services (IB) as of 12/31/13 Rating Count Ratings Distribution Count IB Services/Past 12 Months Buy (a) 415 59.20% 68 16.39% Hold (b) 270 38.52% 4 1.48% Sell (c) 16 2.28% 1 6.25% (a) Corresponds to "Outperform" rated stocks as defined in Cowen and Company, LLC's rating definitions. (b) Corresponds to "Market Perform" as defined in Cowen and Company, LLC's ratings definitions. (c) Corresponds to "Underperform" as defined in Cowen and Company, LLC's ratings definitions. Note: "Buy", "Hold" and "Sell" are not terms that Cowen and Company, LLC uses in its ratings system and should not be construed as investment options. Rather, these ratings terms are used illustratively to comply with FINRA and NYSE regulations. T:(2):NA 10/19/12 22 20 18 16 14 12 10 8 I:(1):NA 02/04/13 (1):$17.50 05/29/13 (1):$16.00 06/26/13 Applied Materials Rating History as of 02/28/2014 (1):$17.50 08/16/13 (1):$20.00 09/24/13 powered by: BlueMatrix Apr 2011 Jul 2011 Oct 2011 Jan 2012 Apr 2012 Jul 2012 Oct 2012 Jan 2013 Apr 2013 Jul 2013 Oct 2013 Jan 2014 Closing Price Target Price I:(1):NA 02/04/13 90 80 70 60 50 40 30 20 (1):$72.50 06/03/13 (1):$80.00 07/18/13 ASML Holding NV Rating History as of 02/28/2014 powered by: BlueMatrix Apr 2011 Jul 2011 Oct 2011 Jan 2012 Apr 2012 Jul 2012 Oct 2012 Jan 2013 Apr 2013 Jul 2013 Oct 2013 Jan 2014 Closing Price Target Price 6

T:(1):NA 10/19/12 30 28 26 24 22 20 18 I:(2):NA 02/04/13 (2):$23.50 06/03/13 Intel Rating History as of 02/28/2014 powered by: BlueMatrix Apr 2011 Jul 2011 Oct 2011 Jan 2012 Apr 2012 Jul 2012 Oct 2012 Jan 2013 Apr 2013 Jul 2013 Oct 2013 Jan 2014 Closing Price Target Price T:(2):NA 10/19/12 60 55 50 45 40 35 30 I:(2):NA 02/04/13 (1):NA 04/11/13 (1):$56.00 05/29/13 Lam Research Rating History as of 02/28/2014 (1):$50.00 06/26/13 (1):$58.00 08/01/13 powered by: BlueMatrix Apr 2011 Jul 2011 Oct 2011 Jan 2012 Apr 2012 Jul 2012 Oct 2012 Jan 2013 Apr 2013 Jul 2013 Oct 2013 Jan 2014 Closing Price Target Price Legend for Price Chart: I = Initation 1 = Outperform 2 = Market Perform 3 = Underperform UR = Price Target Under Review T = Terminated Coverage $xx = Price Target NA = Not Available 7

Points Of Contact Reaching Cowen Main U.S. Locations New York 599 Lexington Avenue New York, NY 10022 646.562.1000 800.221.5616 Atlanta 3399 Peachtree Road NE Suite 417 Atlanta, GA 30326 866.544.7009 Boston Two International Place Boston, MA 02110 617.946.3700 800.343.7068 Cleveland 20006 Detroit Road Suite 100 Rocky River, OH 44116 440.331.3531 San Francisco 555 California Street, 5th Floor San Francisco, CA 94104 415.646.7200 800.858.9316 Chicago 181 West Madison Street Suite 1925 Chicago, IL 60602 312.577.2240 International Locations Cowen International Limited London 1 Snowden Street - 11th Floor London EC2A 2DQ United Kingdom 44.20.7071.7500 Cowen and Company (Asia) Limited Hong Kong Suite 1401 Henley Building No. 5 Queens Road Central Central, Hong Kong 852 3752 2333 @CowenResearch Cowen and Company 8